电子产品开发综述汇总十篇

时间:2023-12-01 09:48:14

电子产品开发综述

电子产品开发综述篇(1)

二十世纪后半期,随着集成电路和计算机的不断发展,电子技术面临着严峻的挑战。由于电子技术发展周期不断缩短,专用集成电路(ASIC)的设计面临着难度不断提高与设计周期不断缩短的矛盾。为了解决这个问题,要求我们必须采用新的设计方法和使用高层次的设计工具。在此情况下,EDA(Electronic Design Automation即电子设计自动化)技术应运而生。随着电子技术的发展及缩短电子系统设计周期的要求,EDA技术得到了迅猛发展。

一、EDA技术的定义及构成

所谓EDA技术是在电子CAD技术基础上发展起来的计算机软件系统。它是以计算机为工作平台,以硬件描述语言为系统逻辑描述的主要表达方式,以EDA工具软件为开发环境,以大规模可编程逻辑器件PLD(Programmable Logic Device)为设计载体,以专用集成电路ASIC(Application Specific Integrated Circuit)、单片电子系统SOC(System On a Chip)芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程 [J]。在此过程中,设计者只需利用硬件描述语言HDL(Hardware Description language),在EDA工具软件中完成对系统硬件功能的描述,EDA工具便会自动完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。

现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计。EDA技术研究的范畴相当广泛,从ASIC开发与应用角度看,包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块和布局布线子模块等。EDA主要采用并行工程和“自顶向下”的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

二、EDA技术的发展

EDA 技术的发展至今经历了三个阶段:电子线路的CAD是EDA发展的初级阶段,是高级EDA系统的重要组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设计电子系统的电路图、印制电路板和集成电路板图。它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。

EDA技术中级阶段已具备了设计自动化的功能。其主要特征是具备了自动布局布线和电路的计算机仿真、分析和验证功能。其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。

高级EDA阶段,又称为ESDA (电子系统设计自动化)系统。过去传统的电子系统电子产品的设计方法是采用自底而上(Bottom-UP)的程式,设计者先对系统结构分块,直接进行电路级的设计。EDA技术高级阶段采用一种新的设计概念:自顶而下(TOP-Down)的设计程式和并行工程(Concurrent Engineering)的设计方法,设计者的精力主要集中在所设计电子产品的准确定义上,EDA系统去完成电子产品的系统级至物理级的设计。此阶段EDA技术的主要特征是支持高级语言对系统进行描述。可进行系统级的仿真和综合。

三、基于EDA技术的电子系统设计方法

1.电子系统电路级设计

首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析和可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。

2. 系统级设计

系统级设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

系统级设计的步骤如下:

第一步:按照“自顶向下”的设计方法进行系统划分。

第二步:输入VHDL代码,这是系统级设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。

第三步:将以上的设计输入编译成标准的VHDL文件。对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四步:利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。

第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

四、前景展望

21世纪将是EDA技术的高速发展时期,EDA 技术是现代电子设计技术的发展方向,并着眼于数字逻辑向模拟电路和数模混合电路的方向发展。EDA将会超越电子设计的范畴进入其他领域随着集成电路技术的高速发展,数字系统正朝着更高集成度、超小型化、高性能、高可靠性和低功耗的系统级芯片(SoC,System on Chip)方向发展,借助于硬件描述语言的国际标准VHDL和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大,必将给硬件设计领域带来巨大的变革。

参考文献:

[1]谭会生,张昌凡.EDA技术及应用[M].西安:西安电子科技大学出版社,2001.

电子产品开发综述篇(2)

现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计。EDA技术研究的范畴相当广泛,从ASIC开发与应用角度看,包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块和布局布线子模块等。EDA主要采用并行工程和“自顶向下”的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

二、EDA技术的发展

EDA技术的发展至今经历了三个阶段:电子线路的CAD是EDA发展的初级阶段,是高级EDA系统的重要组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设计电子系统的电路图、印制电路板和集成电路板图。它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。

EDA技术中级阶段已具备了设计自动化的功能。其主要特征是具备了自动布局布线和电路的计算机仿真、分析和验证功能。其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。

高级EDA阶段,又称为ESDA(电子系统设计自动化)系统。过去传统的电子系统电子产品的设计方法是采用自底而上(Bottom-UP)的程式,设计者先对系统结构分块,直接进行电路级的设计。EDA技术高级阶段采用一种新的设计概念:自顶而下(TOP-Down)的设计程式和并行工程(ConcurrentEngineering)的设计方法,设计者的精力主要集中在所设计电子产品的准确定义上,EDA系统去完成电子产品的系统级至物理级的设计。此阶段EDA技术的主要特征是支持高级语言对系统进行描述。可进行系统级的仿真和综合。

三、基于EDA技术的电子系统设计方法

1.电子系统电路级设计

首先确定设计方案,同时要选择能实现该方案的合适元器件,然后根据具体的元器件设计电路原理图。接着进行第一次仿真,包括数字电路的逻辑模拟、故障分析、模拟电路的交直流分析和瞬态分析。系统在进行仿真时,必须要有元件模型库的支持,计算机上模拟的输入输出波形代替了实际电路调试中的信号源和示波器。这一次仿真主要是检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB板之前还可以进行后分析,包括热分析、噪声及窜扰分析、电磁兼容分析和可靠性分析等,并且可以将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真,这一次仿真主要是检验PCB板在实际工作环境中的可行性。

可见,电路级的EDA技术使电子工程师在实际的电子系统产生之前,就可以全面了解系统的功能特性和物理特性,从而将开发过程中出现的缺陷消灭在设计阶段,不仅缩短了开发时间,也降低了开发成本。2.系统级设计

系统级设计是一种“概念驱动式”设计,设计人员无须通过门级原理图描述电路,而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性概念构思与方案上,一旦这些概念构思以高层次描述的形式输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。

系统级设计的步骤如下:

第一步:按照“自顶向下”的设计方法进行系统划分。

第二步:输入VHDL代码,这是系统级设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。

第三步:将以上的设计输入编译成标准的VHDL文件。对于大型设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性,因为对于大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四步:利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用产生的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,较为粗略。一般设计,这一仿真步骤也可略去。

第五步:利用适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

第六步:将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片FPGA或CPLD中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

四、前景展望

21世纪将是EDA技术的高速发展时期,EDA技术是现代电子设计技术的发展方向,并着眼于数字逻辑向模拟电路和数模混合电路的方向发展。EDA将会超越电子设计的范畴进入其他领域随着集成电路技术的高速发展,数字系统正朝着更高集成度、超小型化、高性能、高可靠性和低功耗的系统级芯片(SoC,SystemonChip)方向发展,借助于硬件描述语言的国际标准VHDL和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大,必将给硬件设计领域带来巨大的变革。

[摘要]本文从EDA技术的定义及构成出发,系统介绍了EDA技术的发展概况,以及基于EDA技术的电子系统设计的方法和步骤,快速实现系统数字集成,具有深刻的理论意义和实际应用价值。

[关键词]EDA技术电子系统仿真

二十世纪后半期,随着集成电路和计算机的不断发展,电子技术面临着严峻的挑战。由于电子技术发展周期不断缩短,专用集成电路(ASIC)的设计面临着难度不断提高与设计周期不断缩短的矛盾。为了解决这个问题,要求我们必须采用新的设计方法和使用高层次的设计工具。在此情况下,EDA(ElectronicDesignAutomation即电子设计自动化)技术应运而生。随着电子技术的发展及缩短电子系统设计周期的要求,EDA技术得到了迅猛发展。

参考文献:

电子产品开发综述篇(3)

EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。具体而言,设计人员采用“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,然后采用VHDL、Verilog-HDL、ABEL等硬件描述语言对高层次和系统行为进行设计,并通过逻辑综合优化工具生成目标文件,最后系统的电路由CPLD、FPGA或ASIC(专用集成电路)来实现。EDA技术的发展至今已有30年的历程,其大致可分为三个阶段。20世纪70年代为计算机辅助设计(CAD)阶段,人们用计算机辅助进行电路原理图编辑、PCB布局布线,这极大的促进了当时中小规模集成电路的开发和应用,使人们得以从繁杂的机械图的版图设计工作中解脱出来,这是第一代EDA技术。80年代,出现了以计算机仿真和自动布线为核心技术的第二代EDA技术,即计算机辅助工程阶段(CAE),其主要功能:原理图输入、逻辑仿真、电路分析、自动布局布线、PCB后分析,称之为“电路级设计”。90年代后,出现了以高级语言描述、系统级仿真和综合技术为特征的第三代EDA技术。它采用的是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统和行为进行描述,在系统一级进行验证,然后用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以用ASIC来完成。由于设计的主要仿真和调试过程是在高层次上完成的,也就有利于早期发现结构设计上的错误,避免了设计工作的浪费,极大地提高了系统设计效率,缩短了产品的研发周期。

2EDA技术的基本设计思路

2.1EDA技术的电路级设计

电路级设计工作的流程图如图1所示。设计人员首先确定设计方案,并选择能实现该方案的合适元器件,然后根据元器件设计电路原理图,接着进行第一次仿真,其中包括数字电路的逻辑模拟、故障分析等,其作用是在元件模型库的支持下检验设计方案在功能方面的正确性。仿真通过后,根据原理图产生的电气连接网络表进行PCB板的自动布局布线。在制作PCB之前,还可以进行PCB后分析,并将分析结果反馈回电路图,进行第二次仿真,称之为后仿真。其作用是检验PCB板在实际工作环境中的可行性。综上所述,EDA技术的电路级设计可以使设计人员在实际的电子系统产生以前,就“已经”全面了解系统的功能特性和物理特性,从而将开发风险消灭在设计阶段,缩短开发时间,降低开发成本。

2.2EDA技术的系统级设计

随着技术的进步,电子产品的更新换代日新月异,产品的复杂程度得到了大幅增加,以前鉴于电路级设计的EDA技术已不能适应新的形势,必须有一种高层次的设计方法,即“系统级设计”。其设计流程图如图2所示。基于系统级的EDA设计方法其主要思路是采用“自顶向下”的设计方法,使开发者从一开始就要考虑到产品生产周期的诸多方面,包括质量成本、开发周期等因素。第一步从系统方案设计入手,在顶层进行系统功能划分和结构设计,第二步用VHDL、Verilog-HDL等硬件描述语言对高层次的系统行为进行描述;第三步通过编译器形成标准的VHDL文件,并在系统级验证系统功能的设计正确性;第四步用逻辑综合优化工具生成具体的门级逻辑电路的网络表,这是将高层次的描述转化为硬件电路的关键;第五步将利用产生的网络表进行适配前的时序仿真;最后系统的物理实现级,它可以是CPLD、FPGA或ASIC。

3EDA技术在现代数字电子系统设计中的应用

3.1设计要求

设计一个四位二进制同步计数器。同步计数器是指在时钟脉冲(CP)的控制下,构成计数器的各触发器状态能够同时发生变化。该计数器带异步复位,计数允许,四位二进制同步计数器电路,如图3所示,其真值表如表1。

3.2用VHDL(VeryHighSpeedIntegratedCircuitHardwareDescriptionLanguage)来设计

其设计代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYcountAISPORT(cp,clr,en:INSTD_LOGIC;Qa,,qb,qc,qd:OUTSTD_LOGIC);ENDcountAARCHITECTUREcountAOFcountAISSIGNALcount_4:STD_LOGIC_VETOR(3DOWNTO0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS(cp,clr)BEGINIF(clr=1)THENCount_4<=“0000”;ELSEIF(CP‘EVENTANDCP=1)THENIF(en=1)THENIF(count_4=“1011”)THENcount_4=“0000”;ELSEcount_4=count__4+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDexample;

3.3系统功能仿真

即验证系统设计模块的逻辑功能。设计人员可以利用EDA工具,运用测试平台的方法来进行验证。测试平台可以实现自动地对被测试单元输入信号测试矢量,并且通过波形输出,文件记录输出或与测试平台中的设定输出矢量相比较,验证仿真结果。本系统输入CP,CLR,EN三个信号,可以得到其输出波形。经验证,系统逻辑功能正确。(注:一般较简单的系统也可忽略这一步)。

3.4逻辑综合与优化

所谓逻辑综合,即是将较高抽象层次的描述自动地转换到较低抽象层次描述的一种方法,目前的EDA工具提供了良好的逻辑综合与优化功能。它利用综合器对VHDL源代码进行综合,优化处理,并将设计人员设计的逻辑电路图自动转化为门级电路,并生成相应的网络表文件。一般的逻辑综合过程如图4所示。

3.5系统时序仿真

电子产品开发综述篇(4)

随着计算机技术的出现及快速的更新与发展,以此为基础并且在其强劲的推动下电子技术得到了远超以往的飞速发展。如今,现代电子产品几乎渗透入了人类生产生活中的各个领域。由于其的高性能,大复杂程度,价格的相对低廉及较快的更新换代速度,使得人类社会达到了一个高度发达的信息化社会阶段,进一步的促进了社会生产力的发展和社会信息化程度的提高。

作为现代电子设计技术的核心,EDA(Electronic Design Automation)技术是以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,以可编程器件PLD(Programmable Logic Device)为实验载体,依赖功能强大的计算机,在EDA工具软件平台上,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线)以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术的应用使得设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大的提高了设计效率,缩短了设计周期,节省了设计成本。

一、EDA技术的发展

回顾自20实际90年代初到如今近30年电子设计技术的发展历程,EDA工具的发展经历大致可划分为三个阶段:计算机辅助设计(CAD),计算机辅助工程(CAE)和电子设计自动化(EDA)。

1.计算机辅助设计CAD(Computer Aided Design)阶段。

20世纪70年代是EDA技术发展的初期阶段,人们开始使用计算机辅助进行IC版图编辑和PCB布局布线,使设计者从繁琐,重负的计算和绘图中解脱出来,由于PCB布局布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能较差。

2.计算机辅助工程设计CAE(Computer Aided Engineering)阶段。

20世纪80年代为CAE阶段,此时EDA工具主要以逻辑模拟,定时分析,故障仿真,自动布局和布线为核心,如果说CAD工具代替了设计工作中绘图的重复劳动,则CAE工具则代替了设计师的部分工作。然而,大部分从原理图出发的EDA工具仍不能满足复杂电子系统的设计要求。

3.电子设计自动化EDA(Electronic Design Automation)阶段。

20世界90年代,设计工程师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。可以说,20世纪90年代EDA技术的发展是电子电路设计的革命。

二、EDA技术的特征

EDA技术代表了当今电子设计的最新发展方向,其基本特征是设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计与功能划分,系统的关键电路采用一片或几片专用集成电路(ASIC)实现。然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器及适配器生成最终的目标期间,这种设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。

1.“自顶向下”的设计方法

过去在较复杂的电子线路设计中,其基本思想是利用“自底向上”方法,用标准集成电路构造出一个新的系统,如同一砖一瓦构造金字塔,不仅效率低,成本高,而且容易出错。

“自顶向下”的设计方法则是从系统整体进行设计,从顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真,纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级经行验证。然后用综合优化工具生成具体门电路的网表。其对应的物理实现级可以是印刷电路板或专用集成电路.由于设计的主要仿真和调试过程是在高层次上完成的。这不仅有利于早期发现结构设计上的错误。避免设计工作的浪费。而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2.ASIC设计

现代电子产品的复杂度日益加深,一个电子系统可能由数万中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。AS1C按照设计方法的不同可分为:全定制ASIC,半定制ASIC。可编程ASIC(@ ~可编程逻辑器件)。

设计全定制AS1C芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计法和标准单元设计法,这两种方法都是约束性的设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。

3.硬件描述语言

硬件描述语言HDL(Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的没计。设计人员可以利用HDL语言来描述自己的设计思想,然后利用EDA工具进行仿真,综合到门级网表,最后由ASIC和FPGA实现其功能。

硬件描述语言是EDA技术的中的重要组成部分,发展至今已有几十年的历史,并且已经成功的应用到系统的仿真,验证和综合等方面。目前世界上已有上百种硬件描述语言,常用的硬件描述语言有AHDL,VHDL和Verilog HDL,其中VHDL和Verilog HDL是当前最流行并且已经成为IEEE标准的硬件描述语言。这两种硬件描述语言的同特点是可以形式化地抽象表示电路的结构与行为,支持逻辑设计中层次及领域的描述,可借用高级语言的精巧结构来简化电路的描述,具有电路仿真与验证机制以保证设计的正确性,支持电路描述由高层到底层的综合转换,硬件描述与实现工艺无关,便于文档管理,易于理解和设计重用。同时VHDL与Verilog HDL又各自具有独自的特点。Verilog HDL非常容易学习理解,一般可在2~3个月掌握这种设计技术,较适合系统级,算法级,寄存器传输级,门级及开关级电路设计。简言之,Verilog HDL对电路底层细节的描述支持较好,较易控制综合后的电路结果。而相对的,VHDL虽然较难掌握,但其系统级硬件描述能力强,而且用户可自定义数据类型,设计灵活。缺点则是对电路细节的描述支持稍差。

4. 系统框架结构。

EDA系统框架结构(Framework)是一套配置和使用EDA软件包的规范,目前主要的EDA系统都建立了框架结构,如Cadence公司的Design Framework,Mentor公司的Falcon Framework等,这些框架结构都遵守国际CFI组织(CAD Framework Initiative)制定的统一技术标准。Framework能将来自不同EDA厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下。而且还支持任务之间,设计师之间在整个产品开发过程中实现信息的传输与共享,这是并行工程和Top—Down设计方法的实现基础。

三、基于EDA软件的FPGA/CPLD开发流程

(1)设计输入(原理图/HDL文本编辑):利用EDA工具的文本或图形编辑器将设计者的设计意图用文本(HDL)或图形方式(原理图或状态图)表达出来。这是在EDA软件上对FPGA/CPLD开发的最初步骤(2)编译:完成设计描述后便可通过编译器进行排错,编译,变成特定的文本格式。为下一步的综合做准备。(3)综合:一般来说,综合是仅对HDL而言的。这是将软件设计与硬件的可实现性挂钩,将软件转化为硬件电路的关键步骤。综合后HDL综合器可生成ENIF、XNF或VHDL等标准格式的网表文件。其从门级开始描述了最基本的门电路结构。(4)行为仿真和功能仿真:利用产生的网表文件进行功能仿真。以便了解设计描述与设计意图的一致性(可省略此步骤)。(5)适配:适配器也称结构综合器,其功能是将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作。其中包括底层器件配置,逻辑分割,逻辑优化,布局布线。适配完成后,EDA软件将产生针对此项设计的适配报告和JED下载文件等多个结果。适配报告指明了芯片内资源的分配与利用,引脚锁定,设计的布尔方程描述情况。(6)功能仿真和时序仿真:在编程下载前必须利用EDA工具对适配生成的结果进行模拟测试。该仿真接近真实器件的运行状态,仿真过程中已考虑到器件的硬件特性,因此仿真精度要高得多。仿真是在EDA设计过程中的重要步骤。(7)编程下载:若以上的所有过程都没有发现问题,便可以将适配器产生的下载文件通过编程器或编程电缆载入目标芯片FPGA或CPLD中。(8)硬件仿真与测试:最后是将含有载入了设计的FPGA或CPLD的硬件系统进行统一测试,最终验证设计项目在目标系统上的实际工作情况,以排除错误,改进设计。

四、结束语

EDA技术是电子设计领域的一场革命。目前正处于高速发展阶段,每年都会有新的EDA工具问世。虽然EDA作为一套完整的电子技术设计系统较为复杂,但作为工具却十分方便于用户的使用。EDA工具大都采用系统级目标设计方法,具有良好的设计界面。可视化操作方法及系统框架结构使得设计者可以把精力主要放在概念设计等顶层设计上,而把大量的具体的层次化设计工作留给EDA系统去做。而我国EDA技术的应用水平长期落后于发达国家,因此广大电子工程人员应尽早掌握这一先进技术。这不仅是提高设计效率的需要。更是我国电子工业在世界市场上生存,竞争与发展的需要。

参考文献:

电子产品开发综述篇(5)

摘要:在简要介绍了EDA技术特点的基础—L,用EDA技术作为开发手段,实现一个数字系统的设计。系统采用了顶层图形设计思想,基于硬件描述语言AI扔L,以可编程器件为核心,具有体积小、可靠性高、灵活性强等特点。并比较了EnA技术与传统电子设计方法的差异,总结出别rA技术的优势。 

关键词:EDA 数字系统 CPLD VHDL 

电子设计的必由之路是数字化,这已成为共识。在数字化的道路上,我国的电子技术经历了一系列重大的变革。从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上发生了具有里程碑意义的飞跃。电子产品正在以前所未有的速度进行着革新,主要表现在大规模可编程逻辑器件的广泛应用。特别在当前,半导体工艺水平已经达到深亚微米,芯片的集成高达到干兆位,时钟频率也在向干兆赫兹以上发展,数据传输位数达到每秒几十亿次,未来集成电路技术的发展趋势将是SOC(System 0h aCh5p)片上系统。从而实现可编程片上系统芯片CPU(复杂可编程逻辑器件)和5PGA(现场可编程门阵列)必将成为今后电子系统设计的一个发展方向。所以电子设计技术发展到今天,又将面临另一次更大意义的突破,5PGA在EDA(电子设计自动化)基础上的广泛应用。

EDA技术的概念: EDA是电子设计自动化(E1echonics Des5p AM·toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。20世纪70年代的CAD(计算机辅助设计)阶段:这一阶段的主要特征是利用计算机辅助进行电路原理图编辑,PCB布同布线,使得设计师从传统高度重复繁杂的绘图劳动中解脱出来。20世纪80年代的QtE(计算机辅助工程设计)阶段:这一阶段的主要特征是以逻辑摸拟、定时分析、故障仿真、自动布局布线为核心,重点解决电路设计的功能检测等问题,使设计而能在产品制作之前预知产品的功能与性能。20吐纪如年代是EDA(电子设计自动化)阶段:这一阶段的主要特征是以高级描述语言,系统级仿真和综合技术为特点,采用“自顶向下”的设计理念,将设计前期的许多高层次设计由EDA工具来完成。EDA是电子技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错.并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次性成功率。 由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件已蓬勃发展起来。在EDA技术中所用的大规模、超大规模芯片被称为可编程ASIC芯片,这些可编程逻辑器件自70年代以来,经历了CPm、IzPGA 、CPLD、FPGA几个发展阶段,其中CPm(复杂可编程逻辑器件)/IzPGA(现场可编程逻辑器件)肩高密度可编程逻辑器件,目前集成度已高达200万门/片以上,它将掩模ASIC集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转由掩模ASIC实现,因此开发风险也大为降低。可以说CPLE)/FPGA器件,已成为现代高层次电子设计方法的实现裁体。硬件描述语言(HDL)是EDA技术的重要组成部分,是EDA设计开发中的很重要的软件工具,VHDL即:超高速集成电路硬件描述语言,仍量凡是作为电子设计主流硬件的描述语言。它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计较串和可靠性,用V佃L进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。例如一个32位的加法器,利用图形输入软件需要输入500至1删个门,而利用VHDL语言只需要书写一行“A=B十C”即可。使用硬件描述语言(HDL)可以用模拟仿真的方式完成以前必须设计和制作好的样机上才能进行的电子电路特性的说明和调试。能在系统行为级就发现可能出现的错误、问题,并加以多次反复修改论证,避免了物理级器件的损伤和多次制作,节约了时间和开发成本,缩短了电子系统开发的周期。将EDA技术与传统电子设计方法进行比较可以看出,传统的数字系统设计只能在电路板上进行设计,是一种搭积木式的方式,使复杂电路的设计、调试十分困难;如果某一过程存在错误.查找和修改十分不便;对于集成电路设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;只有在设计出样机或生产出芯片后才能进行实泅,因而开发产品的周期长。而电子EDA技术则有很大不同,采用

电子产品开发综述篇(6)

以工作过程为导向的课程教学方式,已成为近年来职业教育课程改革的热门话题。工作过程导向的课程的实质,在于课程的内容和结构追求的不是学科架构的系统化,而是工作过程的系统化。单片机课程作为高职高专院校电子、电气、机电、计算机与通信等专业的一门专业课,是一门综合性和实践性较强的课程,适合按照工作过程导向的模式组织教学。单片机课程的教学目的是为从事电子产品、机电产品设计的企业培养具有单片机应用产品设计、分析、调试和创作能力的实践性人才,单片机应用的过程就是用单片机设计产品的过程。以电子产品作为单片机课程的教学载体,将产品的设计制作过程与单片机的知识点有机结合组织教学内容,可以抓住单片机教学的切入点和着力点,教学过程有明确的实践目标――完成电子产品设计,紧密联系单片机应用的实践,有利于提高学生的学习积极性和主动性,提高教学效果。本文以“循环流水灯”、“电子钟”、“温度测量报警系统”三个电子产品作为教学载体,按照系统化的工作过程系统化教学模式,构建单片机课程系统化的学习情境。

一、教学载体的选取原则

作为教学载体的电子产品应具有完整性。工作过程系统化的教学模式要求每个学习情境都是一个完整的过程,因此作为教学载体,它应是具有完整功能的电子产品,它的设计制作过程应当是一个完整的项目制作过程,包括如图1所示单片机应用产品的设计步骤。

和传统教学方法中的实验有本质区别,传统的实验仅仅是为了验证部分理论知识的正确性,而“循环流水灯”、“电子钟”、“温度测量报警系统”在现实中都有产品原型,“循环流水灯”的原型是五彩缤纷的霓虹灯,“电子钟”的原型是学生都比较熟悉的电子手表,“温度测量报警系统”是典型测量控制系统。

作为教学载体的电子产品应具有系统性。根据工作过程系统化教学模式的要求,学习情境之间不仅要有内在的联系,而且不能是简单的重复,前面的学习情境是后面学习情境的基础,后面的学习情境是在前面基础上的拓展与综合。以上述三个产品为载体设计的学习情境,实施过程的步骤是重复的,而实施的内容上则是包含递进的,是一个螺旋上升的学习过程,在硬件设计、程序设计、软件工具使用、调试方法等方面都是包含和逐步递进的。以硬件设计为例,“循环流水灯”用I/O(输入/输出)口输出开关量,控制灯亮灭,“电子钟”用I/O口输出数据,在显示器件上显示字符,“温度测量报警系统”用I/O口输出其他芯片的工作时序,控制其他芯片按设定的方式工作。

作为教学载体的电子产品应具有拓展性。根据工作过程系统化教学模式的要求,学习情境应具有拓展性,拓展性是指学习情境应涵盖课程的所有知识点和该课程在实践中的典型工作任务。上述三个产品为载体的学习情境,涉及单片机所有资源应用:I/O口的输入、输出,外部中断,定时/计数器,串行口等,涉及单片机应用中的典型工作任务:开关量的输入输出控制、显示电路设计控制、键盘电路设计控制、并行接口器件扩展控制、串行接口器件控制和串行通信控制等。学生通过这些系统化的学习情境学习,可以掌握单片机应用产品的开发步骤、环节,掌握单片机资源的应用方法、步骤和技巧,并能应用于其他电子产品的设计中。

二、针对产品设计制作过程的系统化学习情境设计

从图1可知,单片机应用产品的开发是一个综合的系统工程,需要开发人员具有相应的职业能力、职业素养和工程意识。这里的职业能力是指基于单片机应用产品开发过程的职业技能,包括电子产品功能分析分解、资料检索引用、单片机资源调配、硬件电路设计、软件程序设计与调试、编程软件使用、产品制作调试、技术文件编制等。职业素养是基于企业文化的职业素质,包括认真努力、严谨规范、吃苦耐劳、遵纪守时、求真务实、团结协作、拓展创新等素养。工程意识是从社会经济角度考虑的最优设计制作方案,包括成本意识、安全性、可靠性、节能、环保等。本课程在教学组织中将职业能力、职业素养和工程意识的培养有机结合起来,特别是后二者融入教学体系中,更加突出了工学结合的特点,实现单片机课程的培养目标,包括知识目标和能力目标。

为便于课堂教学的组织实施,将每一个学习情境分解为几个学习任务(或子情境),如表1所示。在每个任务里学习相关的单片机知识点,进行相应的实践制作活动,完成相应的职业能力、职业素养和工程意识的训练,每个学习情境最后的学习任务都是对前面任务的综合。

三、学习情境实施

每个学习情境的实施按照图1所示单片机应用产品的开发步骤进行,依照“资讯、计划、决策、实施、检查、评估”的六步骤组织教学内容,将学生的职业能力、职业素养和工程意识的培养融于教学的过程中,针对不同的阶段采用恰当的教学方法。下面以第二个学习情境“电子钟设计”为例,简述学习情境的实施情况。在“产品功能分析”阶段,采用案例对比法,引导学生思考讨论,然后确定电子钟所应具有的功能,确定系统方案(功能模块)。在“器件资料准备”阶段,按照系统方案选择所需的器件资料,采用器件资料比较法,考虑器件使用的难易程度和成本。在“功能电路设计”阶段,采用示范引导法,可以将其他系统的对应电路移植并加以改进,或参照器件资料提供的应用电路。在“功能程序设计”阶段,采用积木编程法,先编写模块程序,再逐步综合构成系统软件。在“系统功能联调”阶段,采用头脑风暴法,引导学生讨论,按照系统的功能要求调配硬件,调试程序使之实现系统功能。在“产品制作”阶段,采用内外互补法,课外制作,课内检查。在“产品测试”阶段,采用自互评价法,由学生自己以及其他同学对作品进行检查评价,最后老师检查验收,综合学生自互评价,给一个综合的成绩。

在学习情境的实施过程中,我们充分利用现代教学手段,对于难以理解的内容,制作动态演示的电子课件帮助学生理解掌握,同时注重虚拟仿真技术的学习,依照学习情境开发系列的虚拟项目(Keil C软件模拟,Protues硬件模拟),供学生在课堂课外练习,这些项目也可以通过网络完成训练,突破学习训练在时间、空间上的局限性。另外,我们注重现代交流技术手段的应用,通过QQ群、电子邮件、MSN等建立网上论坛,实现了师生之间、学生之间的多样化交流。

四、结束语

以产品为载体的单片机课程学习情境设计体现了工作过程系统化课程的工学结合特点,以产品设计制作过程为导向,在“做”中“学”,在“学”中“练”,以练促学,为学生提供了更多实践动手机会,实践能力和综合能力都有很大提高。

参考文献:

电子产品开发综述篇(7)

0、引言

随着CAX(CAD、CAM、CAT、CAE)技术的发展,电子设计自动化(Electronic DesignAutomation,EDA)及其在电子产品设计中的应用日益广泛。不论在教学、科研、产品设计与制造等方面,EDA都发挥着巨大的作用。教学方面,主要使学生掌握EDA的基本概念和基本原理、学习QuartusⅡ等软件的使用与操作,掌握VHDL语言的编写规范及编程的逻辑理论与算法,进行电子电路设计实验和各种应用设计。科研方面,主要利用虚拟仪器进行产品测试,将下载验证后的CPLD/FPGA器件应用到实际仪器设备中,进行专用集成电路ASIC和片上系统SoC设计等。产品设计与制造方面,主要进行大规模智能产品的建模与设计、计算机仿真、验证与测试、缩短产品更新换代周期等。

1、工具软件QuartusⅡ及VHDL语言

1.1

QuartusⅡ的使用技巧

工具软件的使用应与实例开发相结合,通过解决实际使用中遇到的问题,熟悉各种操作功能。通常,使用工具软件时遇到的问题可概括为两个方面。

(1)程序设计语言上的问题。普通编程语言(如C++、JAVA)是面向对象/主体的编程语言,使用时所遇的问题一般是算法设计思路的逻辑和语法格式方面的错误,而VHDL语言是描述数据系统的结构、行为、功能和接口的“概念型”编程语言,编程时,应注意整体模式构架的正确性,包括库与程序包、实体、结构体、配置、描述语句的语法及词法等结构方面的错误。

从可视化角度讲,VHDL语言分为内外两部分:外部为可视界面,以概念为驱动;内部为不可视部分,用算法完成。

(2)工具软件操作上的问题。用QuartusⅡ进行电子系统开发时,一般的步骤有:设计输入、编译、综合、布局、布线、时序分析、仿真、编程下载等。在开发过程中,通常会遇到一些操作上的问题。例如,在对设计文件的初次波形仿真时,当节点信号输入(Insert Node or Bus)、信号波形(Waveform)、网格(Grid Size)和时间轴(End Time)大小等都设定后,如果直接进行波形仿真的命令操作,会出现问题提示:“仿真器中没有文件(No files in simulator)”。原因在于没有向Simulator Setting交互界面中添加波形文件。操作上的解决办法,是从菜单AssignmentSetting…打开设置对话框,选择树形目录中的Simulator Setting项,在交互界面的Simulation input栏中添加正在进行波形编辑和仿真的文件。

通常在遇到工具操作方面的问题时,可从联机帮助或通过网络搜索引擎等途径得到与问题有关的解决方案及提示,提示和线索一般都可使问题得到解决。

1.2 VHDL语言

VHDL语言是较为广泛使用的一种EDA设计语言。它包括系统行为级、寄存器传输级和逻辑门级3个描述层次,并支持结构描述、数据流描述、行为描述和这3种描述的混合描述形式。VHDL几乎覆盖了以往各种硬件描述语言的功能,在电路设计的整个过程中(不论是自顶而下还是自底向上的设计方式)都可以用VHDL来完成。

VHDL具有以下优点:

(1)将设计人员的工作重心提高到系统功能的实现和调试,减少了用于物理实现的精力和时间。

(2)用简洁明确的代码描述来进行复杂的控制逻辑设计,灵活方便,便于设计结果的交流、保存和重用。

(3)不依赖于特定的器件,便于将设计映射到不同的工艺器件上去。

(4)VHDL是一种标准语言,众多的EDA厂商均支持,移植性好。

1.3 “概念驱动式”设计

由于VHDL语言使设计人员摆脱了电路细节的束缚,使他们可以把精力集中于创造性的方案与概念的构思上,因此新的构思理念可以迅速有效地成为产品,大大缩短了产品的研制周期。另外,由于“概念驱动式”的高层次设计只定义系统的行为特性,不涉及实现工艺,因此在高层次设计完成后,必须在厂家综合库的支持下,利用综合优化工具将高层次的描述转化成对具体工艺的优化网表,实现工艺转化。

EDA设计可分为一般规模设计和大型规模设计两类。两者的设计步骤基本相同,不同点在于:在某些设计步骤上大型规模设计增加了一些相应的测试与仿真。

“概念驱动式”设计的总体步骤如下:

(1)按照“自顶而下”的设计方法进行系统划分。

(2)输入VHDL代码或图形。代码输入的优点是可以按照设计者的构思生成新的功能器件。

(3)对设计输入进行编译、综合、优化处理及仿真,生成门级描述的网表文件。对于大型规模电路的设计,要进行代码级的功能仿真,主要用于检验系统功能设计的正确性。因为大型设计在综合、适配时要花费数小时的时间,因此在综合、适配前对源代码进行仿真,可大大减少返回修改的重复次数和时间。一般规模电路的设计,可略去代码级的功能仿真这一步。

综合优化是针对ASIC芯片供应商的某一产品进行的,因此综合的过程要在相应的厂家综合库支持下完成。编译、综合、优化处理是将VHDL代码的概念描述转化为硬件电路,实现以格式化形式描述电路的结构。综合后,可利用生产的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的特性。

(4)利用适配器件将综合后的网表文件针对某一具体的目标器件进行逻辑映射,包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配完成后,产生多项设计结果:适配报告(包括芯片内部资源利用情况),设计的布尔方程描述,适配后的仿真模型,器件编程文件。根据适配后的仿真模型,可以进行适配后的时序仿真。由于已经得到器件的实际硬件特性(如延时特性),因此仿真结果能比较精确地预期未来芯片的实际性能。如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求为止。

(5)将编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。

2、EDA实验项目设计及其类型

EDA的实验项目很多,应用范围很广。对于教学实验来说,可分为3类:验证型实验、综合型实验和创新开发型实验。验证型实验为基础实验,电路较简单,一般为必做实验;综合型实验的电路功能较复杂,主要针对学习与实践动手能力较好的学生开设,一般为选做实验;创新开发型实验为专项研究型实验,内容具有一定的难度和创新性,用于针对参加专项竞赛和具备较高发展潜力的学生进行训练。

下面列出各类型实验中的项目:

(1)验证型实验:

①计数器及移位寄存器实验;

②发光二极管点阵显示器实验;

③交通灯自动控制器实验;

④功率放大器;

⑤时钟控制器实验;

⑥多功能数字钟实验。

(2)综合型实验:

①量程自动转换型数字式频率计;

②电子琴;

③音乐喷泉设计;

④MP3播放器;

⑤数字滤波器设计及实现;

⑥DDS直接数字合成任意波形发生器;

⑦智能家居电器;

⑧烟雾、温度、位置限定等各种报警器;

⑨程控电源;

⑩虚拟逻辑分析仪;

[11]电梯控制电路;

[12]汽车状态记录仪。

(3)创新开发型实验:

①电脑无线通信;

②无线电数据收发器;

③模拟乒乓球比赛游戏电路实验;

④低频对讲机;

⑤精密数控电源及函数发生器;

⑥数字式信号调制发射器;

⑦利用PC机打印接口的频率计;

⑧语音识别与控制;

⑨大厅人数感知器;

⑩运载机器人的语音控制系统;

[11]变速风扇控制器;

[12]距离传感显示器的数据采集时钟电路;

[13]无线电遥控系统。

对于EDA实验,学生可先在自己的计算机上做好波形仿真,再到实验室进行下载验证。这是EDA实验简易、方便和灵活的优越之处。

下载芯片的选用一般有FPGA与CPLD两种,前者具有运行速度极快的优点,但断电即丢失所下载的系统;后者具有断电不丢失定制的优点。

3、EDA实验室的软硬件系统及配置

EDA实验室应由一整套硬件设施及EDA软件环境构成。最基础的硬件配置是计算机、实验箱及网络平台。计算机达到中高档配置的要求即可,配置的高低只影响EDA工具的运行速度与设计的仿真速度,对效果无直接影响。实验箱可采用不同的第三方供应商产品,包括国内产商和国外产商。

软件配置一般用QuartusⅡ软件工具及相关配套组件。测试分析仪器包括频率信号发生器、逻辑笔、数字万用表、示波器与逻辑分析仪。CPLD/FPGA下载板用于将设计的电路下载到板上,完成芯片制造。

在QuartusⅡ上进行设计输入、软件仿真、引脚定位后,与CPLD/FPGA组合就可以下载到Ic芯片上,接着用逻辑分析仪对硬件电路进行时序测试。

4、EDA技术在嵌入式产品设计中的作用与意义

为使设计者方便地为用户设计出不同档次、不同功能需求的产品,满足用户在灵活和个性化上的要求,现代的专用集成电路(ASIC)产品一般都包含了32-bit处理器、类似ROM、RAM、EEPROM、Flash的存储单元和其他功能模块。这些ASIC芯片(常被称为片上系统SoC)与具有现场可编程功能的门阵列FPGA相结合,可使产品达到更高程度的自适应和智能可编程能力。ASIC提品的核心功能及软硬框架,包括IP核心、Flash存储单元中的程序模块和I/O单元;FPGA提供现场可编程的扩展功能空间,与ASIC组合实现产品的最优、易用、低成本、多功能集成等。

ASIC的优点在于专用、量身定制和执行速度较快;FPGA的优点是可编程、灵活和个性化。若每次的产品更新换代都用FPGA设计完成,则会使成本增高,因此只能在小批量产品的设计中使用。若是大规模生产的产品,应采用ASIC设计,制造出专用集成电路芯片并批量生产,使成本降低。

在实际应用中,将ASIC设计与FPGA设计相结合,采用“芯片级”嵌入设计思路,把可编程逻辑器件FPGA嵌入ASIC的标准单元和逻辑模块中,搭配出不同层次和价位的EDA嵌入式产品,满足用户在不同应用领域、不同计算类型中对新型芯片产品的需求。

5、基于网络的现g:EDA实验技术

随着网络应用的不断发展,基于网络平台的EDA实验技术已在EDA教学中得到应用。它改变了传统的实验教学模式,带来了新的生机和活力。在EDA网络平台上可提供实验演示、远端存储、资源共享和互动式教学等内容。

基于Internet的实验平台能够满足网络大学和分布式教学模式中的实验教学需求。多客户一多服务器的系统工作模式实现设备的分时共享,能够有效地节约投资。基于Internet的实验平台是一个开放的系统,它可以支持不同公司、不同型号的FPGA/CPLD设计实验。现代EDA实验室也将是开放的实验室,不受时间、地理位置限制的实验室,不限制设计思路、有利于创新人才培养的实验室。

从长远角度来看,EDA网络平台应在以下几方面做进一步扩充与改进。

(1)资源共享方式的多样化。现在的EDA网络实验平台一般都是局限于某些特定的用户,如拥有实验平台的高校自身及其同盟的高校。这些各自独立运行的平台在功能上存在不同的优缺点,不能实现优势互补,并造成一定程度的重复劳动和浪费。因此应建立功能全面、元件库齐备、电路参数正确性、校验性能强的统一、综合、标准的网络虚拟实验平台。只要用户下载安装客户端并申请注册,就可共享基于网络的数字化实验环境,从数字化实验室管理界面进入不同的实验室空间,进行不同的训练内容或课题,完成在线设计、在线仿真和控制、上传文件至服务器、与其他用户的在线交流等内容。

(2)更强的互动性和信息化管理措施。在基于Internet的实验平台上,学生应能够通过网络将设计结果下载到远程实验室中的FPGA/CPLD芯片上,并借助于远程测试系统,完成实验结果的验证、分析,同时也达到了实验设备共享的目的。在远程实验中运用数字电路测试技术,使虚拟仿真的结果变成真实电路的效果。通过网络实验平台,教师应具备更强的管理能力,例如,在网上批阅学生提交的作业,查询学生向本课程所提的问题,实时地解释并通过网络将答案发送给学生等。学生对课程内容若有疑问或不理解,通过实验网络向教师询问,学生询问的问题存放在后台的数据库中,以备教师查看和使用。在交流的同时教师从网上掌握学生的学习状况,并对学生进行考核。对注册用户进行水平测试,以便指导注册用户的学习,如帮助学员安排学习计划等,对学员学习效果做出评估。考核系统由试题库、注册系统、试题生成模块、考卷批阅系统、成绩查询系统、学习计划自动生成系统等构成。

电子产品开发综述篇(8)

图书分析师庞敏丽认为该书介绍了多种网络营销策划的形式,适合网络营销人员阅读。通过对生意宝旗下比购宝(Boogle.cn)——“网络购物第一站”收录的博库书城、淘宝网、京东商城、卓越亚马逊、当当网、拍拍网、文轩网、中国互动出版网、99网上书城等众多含图书销售的网站,价格搜索显示,当当网的该书为最低价,推荐购买。

电商会议:“2011中国服装行业电子商务应用峰会”火热报名中

10月20日,由、中国服装网共同主办的“2011中国服装行业电子商务应用峰会暨中国服装产业电商采购会”将于宁波举行。届时还将《2011诚信服装电商企业宁波宣言》(详见会议官方网站:/zt/fuzhuang/)。

目前,已确认演讲、参会的部分电商企业包括:凡客诚品、银泰网、乐酷天商城、走秀网、PAYPAL、玛萨玛索、瑞金麟、易合信息、中邮快购、上海商派、新百网上商城、森动数码、中国服装网、衣服网、蓝团科技、敦印、T100趋势网、童装加盟网等,还有数百家传统服装企业。

上篇 网络营销战略与组织

第1章 网络营销战略

1.1 网络营销环境分析

1.1.1 网络营销环境概述

1.1.2 网络营销微观环境

1.1.3 网络营销宏观环境

1.2 网络消费者行为分析

1.2.1 网络消费者行为概述

1.2.2 网络消费者的消费心理及消费过程

1.2.3 网络消费者的消费行为分析

1.2.4 我国网民网络生活分析

1.3 网络市场定位分析

1.3.1 网络市场概述

1.3.2 网络市场细分及差异化

1.3.3 网络目标市场营销策略及市场定位策略

1.4 网络产品分析

1.4.1 网络产品概述

1.4.2 网络产品策略

1.4.3 网络产品研发

1.5 网络营销定价

1.5.1 网络营销定价概述

1.5.2 网络营销中的定价策略

1.6 网络营销渠道分析

1.6.1 网络营销渠道概述

1.6.2 网络营销渠道建设与选择

1.7 网络客户关系营销分析

1.7.1 客户关系营销概述

1.7.2 客户关系营销中的客户关系管理

第2章 网络营销组织与岗位设计

2.1 网络营销组织架构与岗位说明书

2.1.1 部门职责描述(含组织设计)

2.1.2 网络营销综合管理岗位说明书范本

2.1.3 网络广告管理岗位说明书范本(广告投放、策划、制作、评估)

2.1.4 网络活动策划岗位说明书范本

2.1.5 网络新闻营销岗位说明书范本(新闻营销、公关、新闻编辑、论坛、博客)

2.1.6 数据库营销岗位说明书范本(病毒式、数据库)

2.1.7 搜索管理岗位说明书范本(排名、优化)

2.2 企业门户网站管理组织与岗位设计

2.2.1 部门职责概述(含组织设计)

2.2.2 网络编辑管理岗位说明书范本

2.2.3 网站技术支持岗位说明书范本

2.2.4 网站推广管理岗位说明书范本(推广、公关、链接交换、与网络活动的配合)

2.3 企业产品网络销售管理组织与岗位设计

2.3.1 部门概述(含组织设计)

2.3.2 在线客服岗位说明书范本

2.3.3 跟单交易岗位说明书范本

2.3.4 产品管理岗位说明书范本(含产品编辑描述)

2.3.5 促销管理岗位说明书范本(含价格管理)

下篇 网络营销策划与执行

第3章 网络营销策划的形式

3.1 网络市场调研

3.1.1 网络市场调研综述

3.1.2 网络市场调研的过程及注意事项

3.1.3 网络市场调研中具体方法的运用

3.2 网络市场分析

3.2.1 网络市场分析的目的与作用

3.2.2 适合小企业的市场——微利市场

3.3 网络营销效果评估

3.3.1 网络营销效果评估的意义

3.3.2 网络营销效果评估的步骤及方式

3.3.3 网络营销效果的价值

3.4 网络口碑营销

3.4.1 网络口碑营销的含义

3.4.2 网络口碑营销的运用

3.5 网络病毒营销

3.5.1 网络病毒营销概述

3.5.2 病毒营销与传统营销的对比

3.5.3 病毒营销的步骤

3.5.4 病毒营销的误区

3.6 网络数据库营销

3.6.1 网络数据库营销概述

3.6.2 网络数据库营销与传统营销的区别

3.6.3 网络数据库营销的意义

3.6.4 网络数据库营销的关键

3.7 危机公关营销

3.7.1 危机公关概述

3.7.2 危机公关处理

第4章 网络营销策划综合文案

4.1 网络营销策划综合文案一——某美白护肤产品

4.2 网络营销策划综合文案二——某保险公司保险产品

4.3 网络营销策划综合文案三——某品牌新款车

4.4 网络营销策划综合文案四——某知名品牌的饮品

4.5 网络营销策划综合文案五——某化妆品牌的品牌营销

4.6 网络营销策划综合文案六——某品牌薯片

4.7 网络营销策划综合文案七——某品牌沙发

4.8 网络营销策划综合文案八——某品牌红酒

4.9 网络营销策划综合文案九——某品牌女性用品

第5章 网络营销策划综合案例

5.1 网络营销策划综合案例一——阿迪达斯与百度的携手双赢

5.2 网络营销策划综合案例二——百事可乐网络宣传总动员

5.3 网络营销策划综合案例三——可口可乐火炬在线传递

5.4 网络营销策划综合案例四——MSN成功的借势口碑营销

5.5 网络营销策划综合案例五——三一重工“无心插柳”,“网”得最终喝彩

5.6 网络营销策划综合案例六——教你如何吃垮必胜客

5.7 网络营销策划综合案例七——百度更懂中文

5.8 网络营销策划综合案例八——贾君鹏让魔兽再度出发

5.9 网络营销策划综合案例九——你想要世界上最好的工作吗?

5.10 网络营销策划综合案例十——奥迪A3隐形登录市场

5.11 网络营销策划综合案例十一——耐克与“鬼妈妈”的跨界合作

5.12 网络营销策划综合案例十二——“大白兔”跳出“甲醛门”

5.13 网络营销策划综合案例十三——小鱼带你游星座

5.14 网络营销策划综合案例十四——“悦活”越开心

5.15 网络营销策划综合案例十五——立顿玩味茶文化

5.16 网络营销策划综合案例十六——别“妆”了,汤唯与你做 SKⅡ晶莹素肌美人

第6章 网络新闻营销

6.1 网络新闻的力量

6.2 网络新闻PK传统新闻

6.3 制造网络新闻的经典法则

6.4 网络新闻营销实际案例

6.4.1 网络新闻营销实际案例一——“神舟五号”搭载蒙牛一飞冲天

6.4.2 网络新闻营销实际案例二——爱尔康教你如何护眼

第7章 博客营销

7.1 博客营销的意义

7.2 如何通过博客“博”来商机

7.3 博客营销实际案例

7.3.1 博客营销实际案例一——超微与老徐的牵手

7.3.2 博客营销实际案例二——博洛尼盖网络中最高的楼

7.3.3 博客营销实际案例三——耐克的足球迷博客社区

7.3.4 博客营销实际案例四——“石头”也疯狂

第8章 论坛营销

8.1 论坛营销的意义

8.2 论坛热帖炼成术

8.3 论坛营销法则

8.4 论坛营销实际案例

8.4.1 论坛营销实际案例一——“出身”论坛的“天仙MM”

8.4.2 论坛营销实际案例二——摩托罗拉L6的“锋利”出击

8.4.3 论坛营销实际案例三——I Chocolate U,LG情浓巧克力手机

8.4.4 论坛营销实际案例四——暴风雪中的温情故事成就了“飞利浦”

8.4.5 论坛营销实际案例五——“康佳号”一飞冲天

8.4.6 论坛营销实际案例六——汇源让你快乐过节

8.4.7 论坛营销实际案例七——上下起舞,激情青岛啤酒

第9章 电子邮件营销

9.1 电子邮件营销的意义

9.2 电子邮件营销宝典

9.3 电子邮件的传播

9.4 电子邮件营销实际案例

9.4.1 电子邮件营销实际案例一——力士焕然新生的邮件营销

9.4.2 电子邮件营销实际案例二——BMW3 VIP之夜

9.4.3 电子邮件营销实际案例三——电子邮件打开清扬的一片天

9.4.4 电子邮件营销实际案例四——思科公司的“一对一”电子邮件营销

第10章 搜索引擎营销

10.1 搜索引擎竞价排名

10.2 搜索引擎优化

10.3 搜索引擎营销实际案例

10.3.1 搜索引擎营销实际案例一——兰蔻360度搜索引擎营销

10.3.2 搜索引擎营销实际案例二——奥巴马的搜索引擎战

第11章 网络视频营销

11.1 网络视频营销的意义

11.2 受欢迎的网络视频

11.3 选择适当的渠道传播视频

11.4 网络视频营销实际案例

11.4.1 网络视频营销实际案例一——上海彪悍的的雪佛兰MM

11.4.2 网络视频营销实际案例二——后舍男生与护舒宝结缘

11.4.3 网络视频营销实际案例三——没有搅不烂的东西

11.4.4 网络视频营销实际案例四——百事我创,周杰伦和你一起拍广告

11.4.5 网络视频营销实际案例五——“空军一号”涂鸦事件

11.4.6 网络视频营销实际案例六——诺基亚联手刘谦创造手中奇迹

11.4.7 网络视频营销实际案例七——网络放送曼秀雷敦的“追女秘籍”

11.4.8 网络视频营销实际案例八——与“绯闻女孩”一起享受时尚

第12章 网络广告营销

电子产品开发综述篇(9)

就是以计算机为工具,通过有关的开发软件,用VHDL硬件描述语言完成设计,自动完成编译、分割、布局和仿真等工作,用软件完成设计电子系统到硬件系统的一门技术。

1电子设计自动化技术的内容及特点

1.1电子设计自动化技术

电子设计自动化(ElectronicsDesignAutomation,EDA)是一门实现电子系统或电子产品自动设计的技术。EDA吸收了计算机科学领域的最新研究成果,以高性能的电子计算机作为工作的平台,促进电子工程的发展。所以说,EDA是电子产品和系统设计的综合技术,也是每个电子工程师都应该了解和掌握的一门技术。EDA是在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

1.2EDA技术的基本特征

EDA技术是指以计算机为工作平台,利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机自动处理完成。EDA技术的基本特征:按照“自顶向下”(Top-Down)全新设计方法,对系统进行结构设计和功能划分,系统的关键电路是用印刷电路板或者专用集成电路来实现的,然后采用硬件描述语言(HDL)对系统硬件进行功能的实现,最后用综合优化工具生成最终的理想器件。以下介绍相关的几个方面。1.2.1“自顶向下”的设计方法很长一段时间里,电子设计的思路基本就是“自底向上”的设计方法,这种设计方法就好像一块块大石头堆建起来的瓦房,不仅效率低、成本高,而且还非常容易出错,缺点显而易见。于是,人们发明了如今所用的一种全新的设计方法“自顶向下”,这种设计方法首先是系统设计,在顶层进行功能方框图的划分和结构的设计。自顶向下的设计方法使系统被分解为各个模块的集合之后,可以对设计的每个独立模块指派不同的工作小组,这些小组可以工作在不同的地点,甚至可以分属不同的单位,最后将不同的模块集成为最终的系统模型,并对其进行综合测试和评价。它较先前的“自顶向上”无论是在设计的时间上,还是过程中错误的减少,都得到了很大的提升。1.2.2ASIC设计集成电路(ApplicationSpecificIntegratedCircuit,ASIC),在集成电路界被认为是一种为专门目的而设计的集成电路。利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计与实现。ASIC分为全定制和半定制,全制定是基于晶体管设计方法,设计成本高,周期长;而半定制则是一种约束性的设计方法,其设计简化,周期短,提高了芯片的成品率;和通用的集成电路相比,ASIC的体积更小、功耗更低、性能的提升也相当高;从保密性来讲,其保密性还是相当高的,而且它还具有成本节约等优点。可编程ASIC是专用集成电路的一种,也是应用最为广泛的。可编程逻辑器件的密度高、集成度高、生产方便。1.2.3硬件描述语言硬件描述语言(HardwareDescriptionLanguage,HDL)是一种用形式化的方法来描述数字电路和系统的语言,它是EDA开发中的很重要的设计工具,也是EDA技术的重要组成部分。HDL是对电子系统硬件设计的一种高级计算机语言,用HDL语言,数字电路系统的设计可以逐层展示自己的设计思路,一些复杂的数字电路系统可以用一系列分层次的模块来表达。早期的硬件描述语言,由不同的厂商和开发商开发,彼此之间互不兼容,且不支持多层次的设计,这些层次之间的翻译工作就要由人工完成。而利用VHDL语言的可读性强,更加容易修改和发现错误。VHDL即超高速集成电路硬件描述语言,它作为IEEE标准的硬件描述语言和EDA的重要组成部分,经过十几年的发展、应用和完善,正逐渐被众多设计者所接受,这种高层次的方法已经被广泛采用。VHDL即超高速集成电路硬件描述语言,是一种面向设计的多领域、多层次的全方位的硬件描述语言,这种语言几乎覆盖了以往各种硬件描述语言的功能。VHDL具有以下几个优点:(1)强大的硬件描述能力。可以用来描述系统级电路,也可以用来描述门级电路,设计描述具有多层次。(2)支持广泛、易于修改。VHDL已经成为IEEE标准,目前,多数EDA工具都支持VHDL语言,这种高层次的方法已经被广泛采用。(3)作用强大、设计灵活。它具有作用强大的语言结构,能用简洁明了的源代码来描述复杂的逻辑控制。(4)移植能力强。它是一种标准化的硬件描述预言,同样一个设计描述可是被多种不同的工具所支持,这样就使得设计描述的移植得以实现。(5)工艺转换方便。它的设计不依赖于特定的器件,工艺转换方便。

2、EDA技术的应用

现如今,EDA技术发展迅速,已经在教学应用、科研应用、产品设计与制造等方面占据一席之地,发挥着巨大的作用。

2.1教学应用

大部分理工科院系都开设EDA课程。让学生在校期间了解EDA技术的基本原理、HDL硬件描述语言描述系统逻辑的方法,模拟仿真电子电路设计,通过实践提升学生的动手与自主能力,为今后从事的工作打下坚实的基础。

2.2科研应用

电路设计与模拟仿真主要使用EWB等工具进行,举个例子,在CDMA无线通信系统中,移动手机和无线基站都工作在相同的频率,每部手机都有自己唯一的序列码,用来区分电话的呼叫。而CDMA的BTS必须能识别这些不同的码序列才能辨别传呼进程,这是通过在输入数据流中探测到特定的码序列来完成的。

2.3产品设计与制造的应用

从电视、冰箱、音响到电子玩具等各种电子产品电路,EDA技术在模拟研制、仿真、生产、调试等方面都有着重要的作用。可以说,EDA已经成为电子工业领域必不可少的技术支持。

3、EDA技术的发展前景

当今社会,电子产品发展日新月异,为了既快又好地设计出新的电子产品,提高设计效率和产品性能,设计师需要更加简便快捷的EDA工具,这对EDA技术提出了更高的要求。

3.1EDA技术发展的新方向

3.1.1向高密度、高速度、宽频带方向发展设计方法的更新得益于电子器件的发展,随着电子产品的飞速发展,高密度、高速度和宽频带的可编程逻辑产品已经成为主流的,这些高密度、大容量的可编程逻辑器件的出现,给现代电子系统(复杂系统)的设计与实现带来了非常大的帮助。设计方法和设计效率有了新的飞跃,带来了器件的巨大需求,这种需求又促使器件生产工艺的不断进步,而每一次工艺的改进,可编程逻辑器件的规模都将有非常大扩展。3.1.2向可预测延时的方向发展现如今的大数据时代,需要处理的数据量越来越大,就需要其具有大的数据吞吐量,而且多媒体技术发展迅速,图像及影像的实时性要求较高,这就需要有高速的硬件系统。为了可以保证图像实时性及稳定性,器件的延时可预测性就是一个重要的因素。所以,逻辑器件的可预测延时是非常重要的。3.1.3向低电压、低能耗方向发展集成技术的飞速发展,工艺水平的日益提升,全世界都掀起了节能的潮流。因此,要适应时代的潮流,半导体工业也必须向低电压、降低能耗方向发展。

3.2应用前景

在信息通信领域中,需要优先发展高速宽带信息网、计算机及软件技术、第三代移动通信技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,研发新兴的产业。自动化仪表的技术发展趋势将计算机技术、通信技术进一步的融合,大力地推广信息化。在电子设计的研发中,它可以代替设计者完成电子系统设计中的绝大部分工作,而且可以直接在程序中修改错误,系统功能也不需要硬件电路的支持。随着EDA技术的发展,EDA技术具有更好的开发手段和性价比,具有广泛的市场应用前景。

3.3未来展望

从目前的EDA技术来看,其发展趋势是使用普及、应用广泛、工具多样、软件功能强大。中国EDA市场已经日趋成熟,但是大部分的设计是面向PCB制板和ASIC领域,只有小部分的设计是开发复杂的片上系统器件。EDA技术将在自动化仪表的测试技术、控制技术、计算技术等方面有较大的突破,在ASIC和PLD设计方面,以高速、高密度、低能耗、低电压等方面发展。

4、结语

EDA技术的应用十分广泛,现在已涉及电子、通信、机械、航天、医学、生物、军事等各个领域。所以无论是生活、学习、还是工作,都离不开EDA。因此,作为一名大专院校电子类专业的学生,我们应该熟练掌握EDA技术用于CPLD/FPGA的开发和知晓EDA技术在未来发展的前景,只有这样才能去适应激烈竞争的环境,在激烈的竞争环境中取得成绩。

[参考文献]

电子产品开发综述篇(10)

目前,加强课程建设是我国高职教育进行内涵建设的重要切入点,而基于工作过程系统化原则进行课程开发与建设,是由职业教育的属性所决定的。

笔者论述以工作过程系统化为原则,结合任务驱动、项目导向的教学模式,对电子产品生产与管理课程的开发与建设的情况。

一、课程体系结构设计

1.基于工作过程系统化的课程设计

通过精心设计的10个项目任务,实现了对电子产品设计与生产企业从产品开发到大批量生产的完整“工作过程”的模拟,涵盖了职业实践活动中的主要工作任务,所涉及的知识内容和技能以10个工作项目任务为纲,构成了完整的知识结构和技能结构,从而构成本课程的体系结构(见图1)。

图 课程体系结构图

精心设计的10个项目任务,将实际工作任务与学习项目联系起来,学习内容是职业实践中开放性的、没有固定答案的工作任务,学习的内容是工作,通过工作实现了学习。而在完成这些任务的过程中,学生的知识和技能得到综合运用,其创新能力得到有效培养(表1)。

表1 项目任务表

序号 项目名称及内容 对应的工作过程

项目一 创建新公司,建立管理结构,制定管理规章制度 创建新公司

项目二 制定检验文件(来料检验、产品最终检验) 产品检验

项目三 调查行业工程背景问题,形成调查报告 工程背景知识的获取

项目四 新产品研制,制定设计文件 新产品研制-技术管理

项目五 新产品生产准备:制定工艺文件 新产品试生产-工艺管理

项目六 新产品生产准备:设置质量控制点,制定质量管理制度 新产品试生产-质量管理

项目七 新产品生产准备:建立公司质量管理体系 新产品试生产-质量管理

项目八 新产品生产准备:在设立的质量控制点,建立控制图 新产品研制-质量管理

项目九 工作现场管理,施行5S等现场管理制度 生产管理-现场管理

项目十 综合项目数控步进直流稳压电源的设计与制作 综合项目

2.实际工作任务与课程学习项目的对接

工作过程中的具体工作任务对应本课程的学习项目,提取职业能力要求,对应到教学内容中。因此,教学内容极具针对性与适用性。以项目二为例(其他项目可详见各项目文件中的知识点大纲),表2中第一列是项目二中要求完成的学习任务,其与实际工作任务高度一致,第二列是完成相应任务对应的职业技能及能力要求,第三列是对应的知识点内容(除部分是本门课程的知识点内容外,还整合应用了前续课程的知识点)。

项目二 制定检验文件(来料检验、产品最终检验)

表2 项目内容、职业技能与教学内容对应表

项目任务 职业能力或技能 教学内容(知识点内容)

1.使用Protel软件画出电路原理图与PBC板布线图,给出原料清单 电子产品设计开发

电子电路识图、选用 功率放大电路(前续课程)

电路原理图的绘制(前续课程)Protel DXP软件的使用

2.制定电阻器的来料检验文件,并实施检验方案 电子元器件的识别与检测

常用仪器仪表的使用

质量管理实务 常用电子元器件

常用仪器仪表的使用

抽样检验(前续课程)

3.制定电容器的来料检验文件,并实施检验方案 电子元器件的识别与检测

常用仪器仪表的使用

质量管理实务 常用电子元器件

常用仪器仪表的使用

抽样检验(前续课程)

4.制定二极管的来料检验文件,并实施检验方案 电子元器件的识别与检测

常用仪器仪表的使用

质量管理实务 常用电子元器件

常用仪器仪表的使用

抽样检验(前续课程)

5.制定三极管的来料检验文件,并实施检验方案 电子元器件的识别与检测

常用仪器仪表的使用

质量管理实务 常用电子元器件

常用仪器仪表的使用

抽样检验(前续课程)

6.制定继电器的来料检验文件,并实施检验方案 电子元器件的识别与检测

常用仪器仪表的使用

质量管理实务 常用电子元器件

常用仪器仪表的使用

抽样检验(前续课程)

7.现场答辩 计算机应用能力 PPT的制作(前续课程)

二、学习情景设计的原则

应用富有职业教育特色的工作过程系统化课程开发技术,按照电子产品设计与生产企业从产品开发到大批量生产的完整工作过程开发课程,同时与国家职业标准和职业资格鉴定规范进行对接。

1.课程以专业岗位职业能力与素质的全面培养为目标

本课程通过设置的各项目任务,对学生的方法能力、社会能力、专业能力进行综合培养。在教学过程的实施中,学生5~6人组成一个小组,模拟小型公司完成项目任务。模拟公司团队通过分工合作、团队协作,综合运用所学的专业知识,去探究和完成项目任务。

模拟公司法是行动导向的教学模式。强调学生作为学习的行动主体,以职业情景中的行动能力为目标,以基于职业情景中的行动过程为途径,以独立计划、独立实施与自我评估的自我调节的行为方法,以师生及生生的合作行动为方式,以强调学习中自我构建的行动过程为学习过程,实施对学习者方法能力、社会能力、专业能力的综合培养。

2.课程内容的序化基于真实的工作过程

项目任务表(表1)是课程内容的概括,项目任务的展开顺序对应于实际工作过程的工作任务的展开顺序。课程内容项目载体的选取,来源于真实的工作过程。

3.课程内容与职业岗位要求集成

在本课程的设计中,将国家职业技术资格质量工程师(助理)及全国计算机信息高新技术计算机辅助设计模块(Protel平台)绘图员级(国家职业资格四级)等职业规范进行了无缝集成。

三、项目引领任务驱动的教学设计

1.行动导向的教学设计

教学活动的开展综合采用了行动导向的模拟公司法、项目教学法、角色扮演及分组讨论法。在完成相应的创新项目的过程中,各模拟公司小组内学生在项目任务的驱动下,通过分工合作、讨论、角色扮演,以及老师适时的启发、提示和引导,教、学、做一体化,使各种教学模式和方法有机地融合在一起。

2.实现四个一体化

实现了课堂与实习地点一体化、理论与实践一体化、工作与学习一体化以及教、学、做一体化。通过在校内具有教学功能的真实职场环境的实训基地完成上述工作项目,实现了课堂与实习地点一体化、理论与实践一体化以及教、学、做一体化。

参考文献:

[1]张鹤萍,徐国庆.工作知识:职业教育课程的“新鲜血液”[J].职教通讯,2010(3).

上一篇: 农业行业现状及趋势 下一篇: 后勤合同管理
相关精选
相关期刊