硬件设计论文汇总十篇

时间:2023-03-20 16:08:15

硬件设计论文

硬件设计论文篇(1)

四旋翼飞行器的旋翼对称地安装在呈十字交叉的支架顶端,位置相邻的旋翼旋转方向相反,同一对角线上的旋翼旋转方向相同,以此确保了飞行系统的扭矩平衡[7],如图1所示。四旋翼飞行器旋翼的旋转切角是固定值,因此,要通过调节每个电机的转速来实现六自由度的飞行姿态控制。增大或减少4个电机的转速来完成垂直方向上的升降运动,调节1,3旋翼的转速差来控制仰俯速率和进退运动,调节2,4旋翼的转速差来控制横滚速率和倾飞运动,调节2个顺时针旋转电机和2个逆时针旋转电机的相对速率来控制偏航运动。通过对飞行原理的分析,把可行性、低成本、易维护作为主要考虑因素,设计的样机如图2所示。机臂由镂空工程塑料材料PA66和30%玻璃纤维制成,质量相对较轻,强度大,对称电机轴距55cm,为保证水平起飞与平稳着陆,四旋翼飞行器底部安装起落架。电机旋翼等具体参数为:机体质量为857g;最大负载约为300g;机身高度为31cm;飞行时间约为8min。在整机安装过程中尽量保证重心在机械机构的对称中心,实际飞行实验证明了系统动力设备与机械结构的可行性。

2总体结构设计

四旋翼飞行器的硬件系统设计以飞控板为核心,搭载动力设备、电源模块与遥控模块。图3描述了以ATMEGA644P—AU为核心芯片搭载多传感器的飞行控制系统总体结构框图,整体系统利用11.1V锂电池供电,飞控与无刷电调以I2C总线数据传输来调节4个电机的转速;在遥控模块中,2.4MHz的控制信号通过PPM解码板与飞控板进行数据传输;在多传感器系统中,大气压力感器用行高度检测,陀螺仪与加速度计的融合使用用于姿态解算。

3电源模块

四旋翼飞行器由2200MAh,11.1V,持续放电倍率30C锂电池供电,通过稳压电路的设计对不同电路进行供电,确保各模块正常稳定的工作。控制系统设计需要5,3V两种电平供电,电压转换电路如图4所示。由锂电池提供的11.1电压经两块7805稳压芯片后转为5V电压,一部分用控板供电,一部分向预留的外部接口供电。经7805输出的5V电压经过2个MCP1700T稳压芯片输出3V电压,一部分供给控制系统的数字电路,一部分供给控制系统的模拟电路。330μF/25V电解电容器,10nF/16V钽电容器,贴片电容器的并联使用起到了防止电压抖动与滤波的作用。

4多传感器控制模块

为了准确地控制四旋翼飞行器的飞行姿态,需要在控制系统中加入不同的传感器,加速度传感器与三个陀螺仪来测量三轴加速度与角速度,大气压力传感器通过测量起始位置与飞行位置的气压差对飞行高度控制,为自主导航功能提供支持。大气压力传感器选择的是Freescale公司的MPX4250A,在该集成传感器芯片上,除具有压阻式压力传感器外,还有用作温度补偿的薄膜电阻网络,测压范围为20~250kPa,输出电压为0.2~4.9V,工作温度范围为-40~+125℃。电路如图5所示,可以根据压力的大小,通过控制P_1和P_2选择不同的放大倍数,提高采样的精度。LIS344ALH是一种低功耗、高性能、高精度的三轴加速度传感器,通过模拟输出为外部电路提供直接测量信号,加速度传感器的工作电压为2.2~3.6V,检测量程可以在±2gn或±4gn间选择。其中,VREF为通过稳压芯片MCP1700T转换为3V的稳定电压输入。应用电路如图6所示,选择100nF的贴片电容器作为VCC端的解耦电容,在输出端使用1μF的滤波电容减小噪声。考虑到振动误差无法通过加速度传感器进行补偿,因此,陀螺仪选型的过程中把机械性能作为重要的考虑因素,选择了可以在单芯片上实现完整单轴角速度响应的ADXRS610陀螺仪传感器。3个ADXRS610陀螺仪分别安装于垂直于机体坐标系的XYZ轴来实现系统三轴角速度的测量。

5实验与仿真

四旋翼飞行器在姿态解算时,陀螺仪传感器直接测量的是角速度,在积分得到角度的过程中随着时间的增长会产生累计积分误差,积分误差产生的原因一方面是积分时间,另一方面,由于自身的机械特性会产生零漂温漂等现象[8]。在陀螺仪的使用过程中融合加速度传感器,不仅为陀螺仪提供了绝对参考系,而且使加速度传感器优秀的静态性能与陀螺仪良好的动态性能相结合[9],较好地抑制了外界干扰。数据经卡尔曼滤波算法处理后,可有效地降低数据噪声。图8为加速度传感器采样数据与卡尔曼滤波后的数据比较,可以明显地看到噪声信号减小了,但是仍有少量的扰动存在。图9的曲线表明了陀螺仪采集角速度数据存在零漂、温漂现象,当确定零漂为0.05°,静态输出电压为2.63V时,从波形图中可以观察到通过卡尔曼滤波处理后的积分数据平滑收敛,不但对零点漂移进行了补偿,而且对累计积-10-5051015角度/(°)012345时间/s卡尔曼滤波后的数据加速度计采集数据图8加速度计采样数据经卡尔曼滤波后的数据图Fig8DatadiagramofsamplingdatasofaccelerometerprocessedbyKalmanfiltering分误差,温漂有较好的抑制作用。-10-5051015角度/(°)012345时间/s卡尔曼滤波后的陀螺仪数据陀螺仪积分数据采集角速度数据。

硬件设计论文篇(2)

在通信和控制系统中,常使用异步串行通信实现多块单板之间的辅助通信,各个单板通过总线方式连接。为了实现点对点通信,需要由软件定义一套较复杂的通信协议,过滤往来的数据,消耗了CPU较多的时间。89C51单片机有一种九位通信方式,采用一位地址位来实现通信对象的选择,只对发往本地址的地址发生中断进而接收数据。通用的UART芯片如16C550和89C51等构成总线式的通信系统时,需要由CPU通过软件处理接收到的地址和产生九位的数据。本文介绍的UART采用VerilogHDL硬件描述语言设计,可以用FPGA实现,可应用于SoC设计中。其主要特性如下:

·全硬件地址识别,过滤数据不需要CPU的介入;支持一个特殊地址,可用于监听和广播。

·支持查询和中断两种工作方式,中断可编程。

·接收和发送通路分别有128ByteFIFO,每个接收字节附带状态信息。

·设计采用VerilogHDL语言,全同步接口,可移植性好。

·支持自环测试功能。

·波特率可以编程,支持八位或者九位两种数据格式。

设计的UART的九位串行数据格式如图1所示。在空闲状态,数据线处于高电平状态。总线由高到低跳变,宽度为一个波特率时间的负脉冲为开始位,然后是8bit的数据位。数据位后面是lbit的地址信息位。如果此位是1,表示发送的字节是地址信息;如果此位是0,传输的是正常数据信息。地址指示位后是串行数据的停止位。

1UART设计

UART采用模块化、层次化的设计思想,全部设计都采用VerilogHDL实现,其组成框图如图2所示。整个UARTIP由串行数据发送模块、串行数据接收模块、接收地址识别模块、接收和发送HIFO、总线接口逻辑、寄存器和控制逻辑构成。串行发送模块和接收完成并/串及串/并的转换,接收地址的识别由接收地址识别模块完成。发送和接收HIFO用于缓存发送和接收的数据。总线接口逻辑用于连接UARTIP内部总线和HOST接口。寄存器和控制逻辑实现UARTIP内部所有数据的收发、控制和状态寄存器、内部中断的控制及波特率信号的产生。以下详细说明主要部分的设计原理。

1.1串行数据发送模块

串行数据发送模块将数据或地址码由并行转换为串行,并从串行总线输出。设计采用有限状态机实现,分为空闲、取数、发送三个状态。其状态迁移如图3所示。各个状态说明如下:

空闲状态:状态机不断检测发送使能位、UART使能位和发送FIFO空/满标志位,如果使能位为高、UART使能打开且FIFO空标志位为低,串行发送进入取数状态。

取数状态:在此状态,分两个周期从发送FIFO中取出待发送的数据或者地址,然后进入发送状态。

发送状态:在此状态,状态机按照九位串行数据的格式依次发送开始位、数据位、地址指示位。待停止位发送完毕后,返回空闲状态。一个字节的数据发送完毕后,进行下一个字节数据的发送流程。

1.2串行数据接收模块

串行数据接收模块用于检测串行数据的开始位,将串行总线上的串行数据转换成并行数据并输出。接收逻辑也采用有限状态机实现,分为空闲状态、寻找开始位、接收数据和保存数据四个状态。其状态迁移图如图4所示。各个状态说明如下:

空闲状态:在此状态,不断检测接收使能、UART使能和串行输入信号的状态。如果串行输入信号出现由高到低的电平变化且UART使能和接收使能都为高,则将采样计数器复位,并进入寻找开始位状态。

寻找开始位:在此状态,状态机等待半个波特率的时间,然后重新检测串行输入的电平。如果为低,则判断收到的开始位有效,进入接收数据状态;否则认为数据总线上出现干扰,开始位无效,重新返回空闲状态。

接收数据:在此状态,依次接收串行数据线上的数据位、地址指示位和停止位,结束后进入保存数据状态。

保存数据:此状态将收到的串行数据以并行方式从接口的并行总线输出,然后返回空闲状态,准备进行下一个字节数据的搜索和接收。

为提高对串行输入上突发干扰的抵抗能力,对于接收数据,在脉冲的中间位置连续采样三次,较多的电平作为接收的有效数据。所有接收数据的采样频率为接收波特率的16倍。

1.3硬件地址识别模块

硬件地址识别模块用于从接收到的数据中判断出地址和数据,在地址识别功能打开时,选择数据通过或者丢弃;而该功能关闭时,所有数据都会通过。地址识别模块是一个有两个状态的有限状态机,分为地址和数据两个状态。其状态迁移图如图5所示。状态说明如下:

地址状态:在此状态时,判断接收到的数据以及地址识别使能位。如果地址识别功能没有打开,对于接收的任何地址,都进入数据状态。如果地址识别功能打开,则将收到的地址和本地地址比较,如果相等,则保存此地址,进入数据状态;否则继续在此状态接收数据和地址,将收到的数据忽略。

数据状态:将接收到的数据输出,直到收到地址位时,返回地址状态,处理地址。

为实现监听和广播功能,将地址255作为特殊地址,它可以和任何地址匹配。若本站的地址为255,此站点可以接收任何地址的数据,此功能可以用于监听总线上的数据;若发送数据的目的地址为255,则任何站点都会接收到此数据,此功能可以用于发送广播数据。

1.4FIFO设计

FIFO由控制逻辑和双口RAM组成,控制逻辑用来实现将一个双口RAM转换成两个FIFO的功能,这两个FIFO分别用于发送和接收数据缓存;中断控制用于在中断工作方式时管理UART内部的中断状态和控制信息。

为减少所需块RAM的数量,接收和发送FIFO使用同一个块RAM实现,使用仲裁机制保证两个FIFO的四个端口,在同一时刻最多只有两个操作,不影响对FIFO的读写。

1.5总线接口

UART采用同步接口,所有信号都在系统时钟的上升沿采样,设备的握手用一位应答信号完成。

数据总线宽度采用8+2的方式。和16位或者32位宽度的数据总线连接时,可以一次读取接收数据的数据和地址指示位,减少总线操作次数;若和8位系统连接,可以只连接低8位数据线,接收数据的地址信息可以通过内部的状态寄存器读取。

1.6寄存器和控制逻辑

寄存器部分实现UART内部所有数据的收发、控制和状态寄存,用于设置UART的数据格式、收发波特率、FIFO控制、本地地址、地址识别、中断控制和状态寄存,实现对UART工作的控制。

控制逻辑产生所需的所有波特率信号及对应的上升和下降沿指示信号,并根据实际工作所选择的波特率输出与系统时钟同步的对应信号。波特率产生逻辑的组成框图如图6所示。

2功能和时序仿真

首先结合功能仿真设计系统的仿真平台。仿真平台如图7所示。系统仿真平台和仿真激励采用VerilogHDL语言设计,可同时用于功能仿真和时序仿真,不能用于二者的综合。寄存器级模型为用于UARTIP设计的RTL描述,全部采用可以综合的VerilogHDL语句编写。仿真使用的软件为ModelSim。

功能仿真包括以下几个方面:

(1)基本模块连线时序的仿真。首先用描述方式设计UART的接口模型,利用仿真激励进行简单的读写操作,设计出仿真激励信号和系统仿真平台。然后结合仿真激励信号逐步完成UART的各个子模块的设计。仿真时,需要逐步观察UART接口信号的波形、UART内部模块的接口信号波形、各种状态机的状态迁移和数据指针的值以及状态位的值,逐步完成寄存器传输级的UART设计。

(2)UART的工作仿真。完成RTL的寄存器传输级模型后,根据系统软件工作的模式,用HDL设计出数据收发的仿真激励,打开自环功能,进行数据的发送和接收。仿真可以分为仿真查询和中断两种工作方式。对于中断工作方式,需要用HDL语言模拟软件的中断机制,

进行中断工作方式的仿真。最后打开地址识别功能,发送不同目的地址的数据,观察UART的硬件地址识别情况。

完成功能仿真后,将设计进行布局布线,生成Ver-ilogHDL形式的时序仿真模型和标准时延文件,利用与功能仿真相同的仿真平台进行时序仿真。时序仿真只需要仿真工作方式。功能仿真和时序仿真使用相同的仿真平台和激励向量,这样便于比较二者的差异,发现设计代码存在的问题。

3综合和测试结果

本设计用Synplicity公司的SynplifyPro作为综合工具,用XilinxISE5.2作为布局布线工具,采用器件为XC2S100IIE-7。综合结果显示,该UARTIP占用资源情况为:SLICE275个、内部块RAM1个、I/O24个,HOST总线可以达到的频率为73.2MHz。

测试程序参考仿真激励的生成,用C语言在vxWorks操作系统下设计。测试所用方法和工作仿真完全相同,只是仿真激励对应测试程序,而RTL模型对应实际的FP-GA器件。

硬件设计论文篇(3)

加密是对软件进行保护的一种有效手段。从加密技术的发展历程及发展趋势来看,加密可大体划分为软加密和硬加密两种。硬加密的典型产品是使用并口的软件狗,它的缺点是端口地址固定,容易被逻辑分析仪或仿真软件跟踪,并且还占用了有限的并口资源。笔者设计的基于PCI总线的加密卡具有以下几个优点:第一,PCI总线是当今计算机使用的主流标准总线,具有丰富的硬件资源,因此不易受资源环境限制;第二,PCI设备配置空间采用自动配置方式,反跟踪能力强;第三,在PCI扩展卡上易于实现先进的加密算法。

1总体设计方案

基于PCI总线的加密卡插在计算机的PCI总线插槽上(5V32Bit连接器),主处理器通过与加密卡通信,获取密钥及其它数据。加密卡的工作过程和工作原理是:系统动态分配给加密卡4字节I/O空间,被加密软件通过驱动程序访问该I/O空间;加密卡收到访问命令后,通过PCI专用接口芯片,把PCI总线访问时序转化为本地总线访问时序;本地总线信号经过转换处理后,与单片机相连,按约定的通信协议与单片机通信。上述过程实现了主处理器对加密卡的访问操作。

图1硬件总体设计方案

下面以主处理器对加密卡进行写操作为例,阐述具体的实现方法。加密卡采用PLX公司的PCI9052作为PCI总线周期与本地总线周期进行转换的接口芯片。PCI9052作为PCI总线从设备,又充当了本地总线主设备,对其配置可通过EEPROM93LC46B实现。主处理器对加密卡进行写操作,PCI9052把PCI总线时序转化为8位本地数据总线写操作。这8位本地数据总线通过Lattice公司的ispLSI2064与单片机AT89C51的P0口相连,2064完成PCI9052本地总线与AT89C51之间的数据传输、握手信号转换控制等功能。2064对8位本地数据总线写操作进行处理,产生中断信号。该中断信号与AT89C51的INT0#相连,使AT89C51产生中断。AT89C51产生中断后,检测与其P2口相连的本地读写信号WR#、RD#、LW/R#。当WR#为低电平、LW/R#为高电平时,AT89C51判断目前的操作是否为写操作。确认是写操作后,AT89C51把P0口上的8位数据取下来,然后用RDY51#(经2064转换后)通知PCI9052的LRDYi#,表明自己已经把当前的8位数据取走,可以继续下面的工作。PCI9052收到LRDYi#有效后,结束当前的8位数据写操作。PCI总线的一次32位数据写操作,PCI9052本地总线需要四次8位数据写操作,通过字节使能LBE1#、LBE0#区分当前的8位数据是第几个字节有效。

加密卡硬件总体设计方案如图1所示。

2硬件各组成部分说明

2.1PCI9052部分

PCI9052是PCI总线专用接口芯片,采用CMOS工艺,160引脚PQFP封装,符合PCI总线标准2.1版。其总线接口信号与PCI总线信号位置对应,因此可直接相连,易于PCB实现。PCI9052的最大数据传输速率可达132MB/s;本地时钟最高可至40MHz,且无需与PCI时钟同步;可通过两个本地中断输入或软件设置产生PCI中断。它支持三种本地总线工作模式,实际设计采用地址和数据线非复用、8位本地数据总线、非ISA模式。

PCI9052内部有一个64字节PCI配置空间,一个84字节本地配置寄存器组。对PCI9052的配置可由主机或符合3线协议的串行EEPROM完成(注:ISA模式必须由串行EEPROM完成配置)。实际设计采用Microchip公司的93LC46B存放配置信息。系统初始化时,自动将配置信息装入PCI9052,约需780μs。如果EEPROM不存在或检测到空设备,则PCI9052设置为默认值。

在设计中,EEPROM用到的配置项目有:设备ID:9050;厂商ID:10B5;分类代码:0780;子系统ID:9050;子系统厂商ID:10B5;支持INTA#中断,PCI3C:0100;分配4字节本地I/O空间:(例LAS0RR)0FFFFFFD;其它本地地址空间未使用:00000000;4字节本地I/O空间基地址(模4对齐):(LAS0BA)01200001(仅为示例);4字节本地I/O空间描述符:(LAS0BRD)00000022(非猝发、LRDYi#输入使能、BTERM#输入不使能、不预取、各内部等待状态数均为0、8位本地数据总线宽度、小Endian模式);中断控制/状态,Local4C:00000143(LINTi1使能、LINTi1边沿触发中断选择使能、LINTi2不使能、PCI中断使能、非软件中断、ISA接口模式不使能);UserI/O、从设备应答、串行EEPROM、初始化控制,Local50:00024492。有两点要注意:一是设计中采用PLX公司推荐使用的串行EEPROM93LC46B按字(16bit)为单位组织;二是EEPROM开发器编辑输入与手工书写的顺序对应关系,以厂商ID:10B5为例,在开发器编辑输入的是b510,而不是10B5。

PCI9052本地信号的含义是:LAD[7..0]:本地8位数据总线;WR#:写有效;RD#:读有效;LW/R#:数据传输方向,高电平为写操作,低电平为读操作;LBE1#和LBE0#:字节使能,表明当前LAD[7..0]上的数据是第几个字节(0到3);BLAST#:PCI9052写数据准备好或读数据已取走;LRDYi#:外部设备(此设计指单片机)已把PCI9052写操作数据取走或读操作数据准备好;LINTi1:外部设备通过LINTi1向主机发送INTA#中断,当单片机验证密钥正确,向主处理器发送请求,表明可以开始从中读取相关数据。

需注意的是,PCI9052在使用时,某些引脚要加阻值为1kΩ~10kΩ的下拉或上拉电阻。因此在实现时,给MODE、LHOLD、LINTi1引脚加下拉电阻,CHRDY、EEDO、LRDYi#引脚加上拉电阻。

图2PCI9052本地写时序

以主处理器向单片机写数据为例,图2给出了PCI9052的本地写时序。

2.2ispLSI2064部分

为降低数据被解析的风险,应尽量减少使用分离元件。因此在设计中选用了Lattice公司的CPLDispLSI2064。该芯片采用EECMOS技术,100引脚TQFP封装,拥有2000个PLD门,64个I/O引脚另加4个专用输入,64个寄存器,3个全局时钟,TTL兼容的输入输出信号。2064具有在系统可编程ISP(In-SystemProgrammable)功能,可方便实现硬件重构,易于升级,降低了设计风险,并且安全性能高。PCI9052与单片机之间的8位数据线进行双向数据传输,不能简单地直接相连,需要进行传输方向控制和数据隔离。故用2064作为PCI9052本地信号与单片机信号进行信号传递的接口,图3给出了8位数据信号双向传输的原理图。2064的开发软件ispDesignExpert8.2版支持VHDL、VerilogHDL、Abel等语言及原理图输入,且通过专用下载电缆可把最终生成的JEDEC文件写入2064,实现编程。在设计时采用了原理图输入的方法。

原理图中用到的BI18的功能描述为:当OE=1时,XB为输出,A为输入,即XB=A;当OE=0时,XB为输入,Z为输出,即Z=XB。FD28的功能描述为:8位D触发器(带异步清除)。结合PCI9052本地读写时序,可以分析得出,在进行读写操作时,图3实现了LAD[7..0]与D[7..0]之间正常的数据传输;在非读写时,双方数据处于正常隔离状态。

2.3单片机AT89C51部分

单片机采用ATMEL公司的AT89C51。这是一个8位微处理器,采用CMOS工艺,40引脚DIP封装。它含有4K字节Flash和128字节RAM,且自身具有加密保护功能。单片机不进行外部存储器和RAM的扩展,程序存储和运行均在片内完成,有效地保证了加密强度。

硬件设计论文篇(4)

随着计算机硬件技术的迅速发展,各类硬件产品越来越多地应用到人们的生活中。因此,业界对硬件类技术人员需求急剧上升。然而,嵌入式之类的硬件系统开发需要的是软硬件紧密结合的复合型人才;通常企业不愿花费太多的人力物力去培养。而一般本科院校的计算机类专业由于实验设备和传统偏软的教学体系,造成毕业生对硬件知识的欠缺或掌握不够系统,不具备业界需求的硬件系统开发能力[1]。技术发展和硬件类产品的大量应用带来了对硬件开发工程师的巨大需求,这给计算类专业学生带来了新的机遇,但同时也对学校的教学体系和学生能力培养提出更高的要求。

一般本科院校在开展硬件类知识和技术方面的教学中通常有如下一些问题:

1) 院校投入了大量的硬件实验设备,但是学生硬件开发能力的提高并不明显;

2) 学校开设的硬件类课程衔接不够紧密,使学生对课程之间的联系掌握不够;

3) 理论教学时间多,实践教学时间少,实验设备利用率低;

4) 学生面对具体的硬件项目开发时,无从着手或知识能力欠缺。

而在学生方面,通常也存在一些问题:

1) 很多学生对硬件知识学习有一种畏惧心理,从而造成学习信心不足;

2) 硬件学习需要花大量的时间,而且效果并不像学习软件一样直观,很多人都失去深入学习的耐心;

3) 软件实践需要的器材很容易满足,一般就是PC+软件,而有些硬件(扩展)实验门槛较高,实验环境难以搭建;

4) 由于硬件的集成度高,学生只能从理论上掌握硬件底层细节,容易形成硬件盲区,学习积极性容易被打击。

随着对硬件开发人员需求的不断增大,近年来在计算机类专业硬件教学方面也提出了一些有效的方法,如文献[2]对硬件教学的系统性进行了一定的探讨;文献[3]对陈旧的硬件教学内容进行了改进;文献[4]对硬件实践教学提出了一些看法。而本文则结合当前流行的嵌入式硬件开发,在多个硬件系统项目开发的基础上,总结了提高硬件开发能力所需要的知识和涉及到的相关课程,并对课程之间前修后续关联进行了合理的安排,建立了一套行之有效的硬件课程教学体系。

1硬件类课程体系设置

学生硬件开发能力的培养涉及到多个学科的课程,包括计算机和电子等专业的课程,还与具体应用背景的一些专业知识有关。具体的课程包括模拟电路、数字电路、嵌入式微处理器、操作系统、程序设计语言、软件开发技术、接口技术与设计、嵌入式操作系统及底层驱动等技术,是软件、硬件的有机结合。在制定硬件人才培养计划时,既要拓宽深度和广度体现硬件系统具有软硬结合、面向具体应用的特点,又要注意与原有的计算机专业课程体系相兼容。

因此,需要在原有的计算机专业课程基础上进行调整,有针对性地增加一些硬件类课程和实践教学环节,增加学生对硬件方面的知识,有效地提高学生的综合性动手能力和具体应用产品和项目的开发能力,并激发学生的学习积极性。

课程调整将与硬件系统开发的相关专业、相关课程合理地散列在低年级的各个学期,留给学生足够的时间去钻研、消化和深入,培养学生扎实的知识背景和基础能力。同时,为几门相关性比较大的课程或者重要性相对突出的课程,设置一些综合性课程设计,让每个学生感觉到一定的成就感,给予一定的动力。另外,设置一些独立性实验,几个人一组,让学生自己查资料,自己设置实验方案等,独立完成。通过上述的这些锻炼后,能很大程度上提高学生团队协作能力和自学能力,提高学生综合素质。具体的课程体系设置图1所示。

从图1可以看出,课程之间都是有很强的关联性,先修课程与后继课程之间需要进行重要知识点的衔接,才能逐步培养学生具有硬件系统开发能力。在学生每修完一门课程,就开设一门能覆盖重要知识的综合课程设计。通过完整的工程项目案例教学,系统地提高学生的综合能力,培养学生具备到企业去做实际项目的实践能力和开发经验。充分满足业界对硬件开发人员的能力要求。

2硬件课程的教学方法和实践

培养学生硬件开发能力,一些基础课学习必不可少,如数字电路、模拟电路、C语言、数据结构、计算机组成原理、操作系统等。如果这些基础知识掌握不牢固,学生在后续专业课程的学习中会感到力不从心。另外,由于硬件知识的学习十分抽象,脱离实践去学习可能会举步维艰。因此,在教学方面要解决“重理论轻实践”问题,贯彻“理论教学与实践教学相互印证和支撑”,将多种实验课程贯穿于课程教学体系中,以提高学生学习效率和知识掌握的熟练程度。下面分几个方面对教学环节中的一些方法进行讨论。

1) 理论课堂教学。

在理论课上,教师通过对具体理论知识点的实例演示,同时穿插对理论知识点讲解,让学生们理解的更具体,从而激发学生的学习兴趣,也间接提升学习信心。学生在课后让依照课堂的演示实验自行进行实例的重复和知识点的验证。如在“嵌入式系统原理与接口技术”课程中讲解串口的传输和实现,教师在课堂上围绕验证S3C2410芯片的功能进行讲解,并在课下开放实验室让学生自行学习,以提高学生独立思考和分析解决问题的能力。

2) 实验课教学。

在实验课上,教师结合理论课上所演示的实验,讲述具体的实验内容,但并不需要完全透彻地讲解,而是把更多的时间留给学生自己思考。如“嵌入式操作系统及应用”课程的实验,教师在课上演示如何建立SAMBA服务器实现开发环境,简要地阐述一遍实验的原理及其过程,把更多时间留给学生,让学生自己建立SAMBA并建立通讯,鼓励同学间的相互讨论和提出问题。

3) 单门的课程设计。

课程设计以设计性和综合性实验为主,在一学期的理论学习和实验的基础上,进一步提高学生对整门课程知识的理解以及对相应基础课程知识的复习,并培养学生一定的动手能力。如操作系统课程设计“银行家算法实现进程同步”结合了C语言、数据结构等知识,不仅可以复习巩固一系列相关课程知识,我们还对这些课程知识进行汇总,设计出综合性课程设计,提升学生知识体系的系统性。课程设计指导书按照知识要点,循序渐进,对这些基础知识进行了进一步的综合,从而使学生将离散的知识点逐步综合起来,增强了其实践能力。

4) 综合性实践。

在学习完各门基础课程之后,教师采用适当的工程案例开展一站式综合案例教学:

(1) 讲解具体项目的知识点,并将它们联系起来;

(2) 将同学们按项目分成小组,引导各组进行项目的讨论并完成具体设计,这样不仅可以提高学生的动手能力,还能培养学生的工程素养和综合能力。

3课程体系验证

通过该课程体系的培训,学生能够具备一定的硬件系统开发能力。下面就通过一个学生实践创新项目――机房温度湿度检测硬件系统的开发来验证课程体系的有效性。

该项目的系统原理图如图2所示。系统采用ARM7系列LPC2114芯片作为控制单元,设备包括温度、湿度传感器、降温通气设备控制接口、键盘和液晶显示屏。其中键盘和液晶显示屏作为系统与人机控制的界面,这些设备采用RS-485通信接口方式和计算机实现远程控制,如及时给用户发送信息,用户对设备进行操作、处理等。

通过图2可以清晰地看到计算机与电子专业的结合:

1) 学生在选择相关的设备时需要用到一定的数字电路和模拟电路等技术知识,并了解相应的参数;

2) 选好了各种款式的电子设备后,需要设计可靠的电路,这更需要学生在电子技术实验中获取的经验;

3) 选好了硬件外设和控制芯片之后,将这些设备与CPU进行连接,就需要用到计算机组成原理和嵌入式接口技术相关的知识;

4) 接着,需要编写相应的驱动,这将涉及嵌入式操作系统和程序设计方面的知识。

当上述步骤完成后,系统可以通过传感器获取需要的外部信息。但还需要对所采集的信号进行处理,然后将处理后的信息反映到显示器上,用户还可以通过相应的设置,进行一些简单的设置,在这里就会用到如A/D转换、通信原理、数据结构和算法设计等相关知识。

系统设计完成之后,学生通过Protel绘制电路图,制作电路板将所有的外设和芯片集成起来,安装操作系统和编写好的控制软件,这样就形成了可以实际应用的一个硬件产品。

4结语

通过这种以完成项目为每门课程最终目的的方式,强调“授之以渔”的教学宗旨,使得学生每学完一门课程就能解决一些相应的实际问题,很好地提高学生的动手能力和对学科的积极性,从而有效地培养了学生的实践能力。

参考文献:

[1] 刘全利,黄贤英,杨武. 计算机应用型人才培养新思路[J]. 重庆工学院学报,2005,19(6):144-145.

硬件设计论文篇(5)

居住区建筑设计的特征分析

居住区的建筑设计是一个将社会、经济、技术等多种因素交叉而成的建筑规划学科。它具有多元化特征。这些综合性的特征可分为硬性和软性两个方面:

硬性是指涉及到科学领域的多种因素,一般是与自然环境以及人们的实践和认知的客体对象有关的,比如,国土地理、施工结构、气象、气候、材料、设备、绿化、卫生等因素。硬性因素具有明确的定义和边界,能够做出精确的定量分析并按照一定的数学方法进行分析和处理。

软性是指涉及到社会科学领域的多种因素,它一般是与社会的发展环境以及人们的主观认识和实践活动有关的。比如,经济、人口、文化、心理、艺术、视觉等因素。由此而构成的建筑设计的内容则具有模糊的性质。除了一部分的经济及人口因素以外,大多数的软性因素集合的边界难以确定,因而无法直接进行计量和分析,只能用比较弹性的方法做模糊的处理。

二.居住区的建筑设计的双重性特征

居住区的建筑设计在规划系统是介于比较微观的建筑单体设计与比较宏观的城镇设计之间的一个层次外置,因此居住区的建筑设计规划具有软性和硬性的双重特征。

由于居住区建筑设计本身所具有的软性和硬性的双重性,所以仅仅依靠人模糊的认知或者举例分析是无法准确处理设计中的具体问题的。因此,我们必须借助各种现代科学的理论和方法。运用科学的方法对居住区建筑设计中的具体问题进行描述和分析,才能提出更合理而准确的方法。

通常用于居住区建筑设计优化的科学方法理论有:优化论方法、系统论方法、信息论方法、控制论方法、仿生论方法、物元论方法、寿命论方法、智能论方法、模型论方法以及模糊论方法等等。

以上各种理论方法虽然在数学分析方法上有所差异,在理论的认识角度上有不同的侧重点,但是在哲学思维方面比较接近,而且都带有辩证逻辑的特点。比如:我们可以将设计的对象分割为一些基本的因素,那么这些因素之间必然会存在普遍的联系和相互的作用,这种相互关系既具有一致性,又具有对立性,系统的结构与特定部分的功能相互制约、量变质变关系、时空存在的形式,这些都是设计中的方法和原则的体现。此外,在技术与艺术的软硬关系之中也充分的体现了设计中的辩证关系。比如:建筑的造型带给人的视觉感受、文化生活、视野舒畅等设计要求都属于艺术和心理方面的因素,而面积、人口、光照、服务设施以及交通等因素则属于设计中需要考虑的人的生理因素和技术因素。居住区的建筑设计师在对以上的特性进行辩证的分析之后,可以对前者采取软处理的方法,也就是凭灵感创作、经验和技巧以及形象思维、形象描述、定性调查等方法进行处理。而对后者则应该采取硬处理的方法,即依靠数理统计、逻辑思维、各种标准规范、抽象模型、物理实验、电脑系统以及抽象模拟等方法对硬因素进行处理。

设计内容更的软性和硬性不仅体现在从设计草图到施工图的整个过程,还反映在环境生态、建筑造型、居住功能以及技术经济等方面,因为这些方面最终决定了设计的目标效益。软硬综合交叉的设计方法应该贯穿在整个方案的构想之中,从构思到描述,到质量的评定,到识别反馈以及方案的修改和文件的编制,整个过程都要注意软硬思想的综合交叉。此外,在居住区的建筑设计优化中还必须通过一定的接口技术将软硬因素和方法联系起来,最好是能构成技术系统,以便更好的使用软硬综合技术。

软硬综合的技术方法的构成

在处理设计师的创作经验与电脑辅助设计的接口问题时,应该注意一下问题:

1.设计中的新方法是技术系统软性部分的核心技术,当需要对设计方法进行更新时,注意一定要在现有的设计经验的基础上进行。传统的设计技术和方法与创新的技术方法之间的替代是一个逐渐交接的过程。在整个设计的过程中,软性经验所占的比重比较大。

2.由于人工智能只是在一定的经验知识基础上和一定机制条件下的固化,所以设计师的思维创作应该是影响和主导设计方案的最主要因素。同时,计算机能够对数据、图形以及文字信息进行综合处理,从而大大提高设计人员的工作效率。

3.离散数学以及模糊数学的方法都是在居住区建筑设计中处理软硬双重性问题经常使用的技术。而数学方法、逻辑方法以及形象方法和经验的交叉使用常常也能取得很好的效果,即用平衡常数方式和权值来解决对不可直接计量因素的量化分析问题。

4.作为人机交互和软硬件结合的设计条件,CAD是进行居住区建筑设计优化所必备的,在开展设计工作之前,要做好CAD系统环境的软硬件配套,为设计工作的做好软硬件的准备工作。

结语:居住区的建筑设计介于微设计与宏设计之间,受到许多软性因素和硬性因素的影响,具有明显的双重性特征。在进行居住区的建筑设计优化时,要综合考虑和处理软硬因素,交叉使用相关的科学方法。同时处理好在各种技术交叉使用时的接口问题。本文对居住区建筑设计优化的综合性特征进行了介绍和分析,并对处理各种技术运用中的接口问题时应该注意的问题进行了分析和探讨。希望能对相关领域的设计人员和研究人员提供一定的参考。

参考文献:

[1] 岳宗晓. 人・空间・环境──居住区设计“以人为本”之我见[J]. 北京规划建设, 1998,(06)

[2] 张兴国, 郭璇. 三峡库区移民居住区人居环境建设初探――以巫山县大昌新镇移民居住区设计为例[J]. 新建筑, 2004,(06)

硬件设计论文篇(6)

中图分类号:TP301 文献标识码:A 文章编号:1009-3004(2013)32-7260-02

随着科学技术的迅猛发展,科技创新成为生产力发展与综合国力的重要基础和标志。这种新的形势又为相关教学提出了更高的要求:(1)军队信息化建设中硬件控制电路基本上采用自主研发和自主设计的方式,因此军校技术型人才应具备这种能力。(2)学员更加注重软硬件的结合发展,目的是能够整合在校所学的相关知识,以便更加顺利地进行与硬件相关的毕业设计,或是参加我院乃至所全国举行的各种控制和计算机类竞赛。所以本文从学生兴趣出发提出任务驱动下软硬件协作教学方法,该教学方法以任务驱动为出发点目的是调动学生学习的积极性和创新性[1],并结合软硬件设计教学试验,由浅入深,循序渐进,从验证性试验到设计性实验,最后进行综合性实验,并指导课堂教学,形成学为所用的“学用一体”得教学新模式如图1所示。

1 任务驱动

精心设计任务是实施任务驱动教学的关键所在。每次上课之前,以教学内容为主导,设计教学内容为主体,让学生知道每次课“要讲什么”, “能解决什么”,“能做什么”,以激发学生学习兴趣为出发点,设计合理的、典型的、覆盖性强的任务,及时分散难点和重点,以学生现有能力为基础,培养学生主动思考、主动学习、主动解决问题的能力,挖掘学生的创造力。

传统的教学方式通常是“三步走”,即:引出概念解释概念实例说明。使学生缺乏创造思维,只是停留在“学懂”,而并非知道我为什么要学,所学有能做什么。而在任务驱动教学方法下实施的教学方式采用“三大步、六小步”的策略,使知识更系统,教学内容更明了,更容易激发学生的创新特质,形成“任务指导教学,教学引导任务”的教学模式,如图2所示。

2 软硬件协作教学方法的实现

计算机硬件课程与软件课程均是理论性和实践性很强的课程,特别是软硬件知识相互交叉与结合,更具较强的工程实践性,在培养学生自主学习和创新思维方面具有一定的优势[2]。随着军队对高素质人才的要求提高,基本所有硬件电路以及相关设备都采用自主设计、自主研发的方式,因此软硬件知识相结合[3],具有较强的工程实践特征,在培养学生的创新能力上具有一定的优势。

采用软硬协同的教学方式,打破了传统的“专业性授课模式”,利用知识点的相似性进行教学,学员不仅在获得知识的同时,也能利用学科间的联系进行发散思维,培养学员的自主学习、自主创新的能力。为此,该文结合任务驱动的实验带动性和软硬件协同知识的交叉性,进行了一系列的教学实践改革。

3 任务驱动下软硬件协同教学方法在硬件课程的实现

通过教学改革,将人才培养从注重知识传授转变到培养创新能力上来,以促进课程教学质量的提高。课堂教学由功能引出或者说在任务驱动下完成一次课程的讲解,采用软硬件协同的方式进行讲解让学员知道知识得关联和连续性。软件和硬件相结合旨在理解硬件中语言的设计,从而最大的发挥硬件设备的功能。

3.1 硬件方面

任务引入硬件芯片,让学生知道“要学什么”,“能做什么”。封装的芯片使其不能像其他实物一样能看到芯片的每一部分,因此在讲授时要做到知识的全面以及内部结构间的联系与分散,随着讲授的不断深入,让学员理解教师所讲的内容以及利用知识能解决什么,形成“学用一体化”,通过教学带动学生主动思考,结合实验教学,不仅使学生对授课内容在实践中得到深入,并进行创造性的设计。

3.2 软件方面

首先通过全国或学院性的机器人设计比赛,将软件知识的设计思想应用到硬件,利用知识点相似性映射教学,例如,在硬件课程的学习中,遇到得第一个难点就是汇编语言,而软件中的C语言,既是前行课程又是学习汇编语言的基础,而驱动硬件芯片的“动力”又是语言,因此C语言类比汇编语言,再通过语言驱动硬件进行工作,就能形成统一、而又系统地授课方式。让学员在现有知识的基础上进行相似记忆,更容易理解硬件知识,从而才能真正地实现软硬件的协同教学。

3.3 实验设计

实验是任务驱动下软硬件协作理论与实践相结合的重要环节。实践环节目的是让学生了解知识的连续性,而软件教学方法和硬件教学方法的结合,使学生对教学内容的理解具体深入,有助于学生进一步理解前面所学知识。实验环节的设计必须注重三点,由易到难,循序渐进,学用一体。

⑴验证性实验

在授课之前教员先针对这堂课的知识点,安排验证性的实验任务,让学员对这次的课堂内容有个初步的认识。引导他们学会如何发现、如何思考、如何解决问题的方法,培养学员自主学习的习惯以及创新意识和创新能力。

⑵设计性实验

由教员作牵引,引导学生的发散思维,结合生活中的例子,自己查找材料,在验证性实验的基础上,根据芯片特性自主设计小实验,目的在于更加熟练的掌握芯片功能,提高学生对知识的系统理解,有效实现知识的重构。例如十字路口的定时器,让知识更具体、更形象,更易解决生活中的简单例子,旨在调动学员自主学习的能力和实验的创造性。

⑶综合性实验

融合所有的设计性实验,最后进行综合性实验,使难问题简单化、实践应用使得知识不断得到创新。让学生知道自己所学将来如何所用,并应用在何种领域。通过各学科知识间的融合进行综合性的实践,也能锻炼学生进行调查研究、查阅文献、分析论证、制定方案、设计或实验、分析总结等方面的能力。

⑷优化理论教学内容,培养学员自主学习的积极性。“任务驱动”下教学方式,重在让学员带着问题去学习,使学生的学习目标明确、具体,以此为出发点,学员可以发散性地理解当堂课的内容,进而提高课程的授课效率和授课质量。在完成一项任务后,一定要与学员及时交流、讨论并点评,及时对学员的学习情况做出反馈和评价。

4 结束语

通过对学生实施计算机硬件课程实验教学的新模式,充分利用现代化教学手段,合理组织实验内容,精心设计课外实验,使某班次的学生在期末考试中取得了优秀率33.33% ,良好率60%的好成绩 ,并在同年得全国机器人大赛取得了全国第二的好成绩。通过这次实践让学生从学习的“配角”,变成学习的“主角”,由教员做知识的牵引者,利用学科间的交叉性,激发学员自主学习的兴趣,调动学员对知识的创新能力,让学员知道现在学的是什么,未来能做什么,形成“学用一体”的教学方式,从而提高学员的计算机综合设计、创新能力,为军队信息化、装备信息化服务打下坚实的基础。

参考文献:

硬件设计论文篇(7)

[中图分类号] G312 [文献标识码]A[文章编号]1672-0717(2008)02-0136-04

一、引 言

科研能力是研究生独立从事科学研究的能力,它是研究生所应具备的最基本的一种能力。具体而言,研究生的科研能力主要囊括了以下几个方面[1]:发现科学问题的能力;科学实践能力;研究论文的写作能力。

科研能力的高低是衡量研究生综合素质的一个很重要的指标。加强研究生的科研能力培养既是时代提出的任务,也是高等教育改革的内在逻辑和本质需要。

二、工科研究生开发类选题能力培养的主要环节

研究生教育是高等教育的最高阶段,反映了国家教育的精华。工科

研究生毕业后从事科研工作的能力高低直接影响我们国家整体的科研水平,因此高等学校在培养工科研究生的过程中要加强科研能力的培养[2]。结合长期的科研开发工作的经历和多年研究生培养的经验,笔者认为培养和提高研究生的科研能力应从以下环节着手:科研立项申请(关键之一);科研实施(关键之二);知识产权申请(专利及软件著作权);发表科研论文;项目结题验收,技术移交;成果鉴定(包括成果查新,检测报告,研制报告,技术报告等);成果报奖;成果转化及产业化。

1-科研立项申请

科研立项申请包括可行性论证报告和填写标书。可行性论证报告是科研选题的基础和前提,要确保科研课题的创新性,科研选题可行性论证工作一定要充分具体[3]。

第一,要做好文献资料的调研论证工作,这是科研选题的基础。文献调研一方面可以向科技主管部门咨询,了解国家科技工作的方针和政策。科技主管部门定期公布的“研究计划纲要”、“项目指南”和“招标指南”,集中体现了国家对科研工作的具体要求,它是指导科研选题的重要依据,是选题的方向;另一方面可以通过科技主管部门指定的信息部门进行开题查新检索,查新的结果具有权威性。另外,网络资源是一个巨大的信息资源库,它不仅方便快捷,更重要的是它具有时效性,每天都有数以万计的科研信息在网上。

第二,要组织好专家论证,这是科研选题的质量保证。各学科的专家不仅具有丰富的专业理论知识,更具有宝贵的实践经验,他们绐终站在学科的前沿,是巨大而又不可多得的科研信息资源库。科研人员可以通过作开题报告、答辩等多种形式,广泛征询有关专家的意见,反复修改,严把科研选题的质量关。

第三,要撰写好标书,确保选题内容的准确表达。课题选好后,研究生的研究思路必须通过标书的形式表达出来。标书是评审专家及主管部门评审课题研究水平的唯一依据,其质量的高低是能否获得资助的关键。这就要求研究生在填写标书时,在形式上,填写要规范、手续要完备;在内容上,必须利用标书充分阐明科研选题的意义、学术思路、研究方案和技术关键。

科研可行性论证报告的内容,一般包括以下几个方面:项目名称(如果一个大的课题里包括几个小题,应加入小题名称;若本题属于某一大题中的子题,也应注明);立项的背景与意义;国内外研究现状与发展趋势;项目实施主要内容、技术关键与创新点、预期目标;应用或产业化前景与市场需求;现有工作基础,条件和优势;项目的实施方案、组织方式与课题分解;进度安排与年度计划内容;承担单位和主要研究人员简况;经费预算来源、使用计划及还款能力分析;经济、社会效益分析;备注。

2-科研实施

对于工科研究生开发类选题,科研实施的内容包括:总体方案设计;硬件设计及实现;软件设计及实现;运行调试结果分析;技术资料归档(原理图、源代码、使用说明书)。

(1)总体方案设计。总体方案设计不好,可能出现致命的问题,造成的损失许多情况下是无法挽回的。产品性能的好坏特别是系统设计合理性、科学性、可靠性、稳定性都与总体方案设计密切相关。对于一个好产品,特别是大型复杂产品,总体方案的反复论证是不可缺少的。只有经过多次反复论证的方案,才能成为好方案。

总体设计方案内容主要有:开发的目的及主要功能;单板功能描述、单板逻辑框图及各功能模块说明;单板软件功能描述及功能模块划分、接口简单定义与相关板的关系;主要性能指标、功耗和采用标准。

在总体设计方案中,项目开发人员应勇于尝试新的先进技术;应坚持采用开放性的硬件架构,把握硬件技术的主流和未来发展,在设计中考虑将来的技术升级;应充分里利用现有的成熟技术,保持产品技术上的继承性;应在设计中考虑成本,控制产品的性能价格比达至最优。

(2)硬件设计及实现。首先要做的硬件设计工作就是进行硬件需求分析,硬件需求分析在整个产品开发过程中是非常重要的一环,它可以明确硬件开发的任务。硬件需求分析主要有以下内容:项目系统工程组网及实用说明;基本配置及其互连方法;硬件整体系统的基本功能和主要性能指标;硬件分系统的基本功能和主要功能指标;功能模块的划分;关键技术的攻关;外购硬件的名称型号、生产单位、主要技术指标;主要仪器设备;可靠性,稳定性,电磁兼容讨论;电源、工艺结构设计;硬件测试方案等等。

硬件需求分析完成后进行硬件总体设计,并撰写总体方案书。硬件总体设计的主要任务就是从总体上进一步划分各单板的功能以及硬件的总体结构描述,规定各单板间的接口及有关的技术指标。硬件总体设计主要有下列内容:系统总体结构图及功能划分;单板命名;系统逻辑框图;系统各功能模块的电路结构图,电路结构图及单板组成;单板逻辑框图和电路结构图;关键技术讨论;关键器件。

硬件总体设计方案通过后就要着手购买关键器件,然后进行结构电源设计、单板总体设计。在以上任务都完成后,就要进行系统联调了。系统联调是整机性能的提高,稳定的重要环节,认真周到的系统联调可以发现各单板以及整体上设计的不足,也是验证设计目的是否达到的唯一方法。

如果联调通过,项目要进行文件归档,准备好应该归档的文件。

在硬件设计及实现中,项目开发人员必须具备如下基本技能:由需求分析至总体方案,详细设计的设计创造能力;熟练运用设计工具,设计原理图,PCB板以及调试程序的能力;运用仿真设备,示波器等分析仪器调试硬件的能力;常用标准电路的设计能力;故障定位,解决问题的能力;文档写作能力。

(3)软件设计及实现。软件的设计及实现主要包括确定软件功能框图,编写代码,进行调试。

对于软件编程过程中所用到的编程语言,编译器的调试环境都需要熟悉。目前软件开发语言主要采用C语言和汇编语言两种,个别情况有用PLM的。软件调试有两种方法,它们是硬件仿真器和软件仿真器。硬件仿真器主要用于开发初期目标板硬件系统尚未稳定器件的软、硬件调试。当目标板稳定后仍可用仿真器调试,查找软件疑难问题。软件调试器一般是通过PC串口与目标板串口相连,通过目标板上监控程序下载程序在目标中进行调试,重点调试软件的流程功能等,其前提条件是目标板硬件已经完全没有问题,至少是CPU程序、RAM、串口等部分可正常运行。

要特别强调的是:在软件设计开发过程中,要充分考虑中断、主程序、子程序的功能、入口参数、出口参数、局部变量、函数调用的规范性和流程图。在相关的通信协议,应说明物理层,链路层通讯协议和高层通讯协议如何定义。

(4)运行结果调试及分析。在系统联调过程中,首先通过调试实现各模块功能,然后,各模块组合联调。调试过程中,总会发生一些问题,以及在硬件设计、软件设计时没有考虑到的问题。此时,就需要多方查阅资料,以及在网上寻求帮助。在系统功能达到满足后,还要对性能指标进行进一步论证。并详细记录调试过程中的实验数据,以待日后分析。在调试过程中,可以对硬件做必要的调整。下次改版时,就可以把修正做到硬件中。

(5)技术资料归档(原理图、源代码、使用说明书)。项目调试成功后,将开发过程中所撰写的文档归档是非常有必要的,对于日后二次开发,或者借鉴相关项目经验都是不无裨益的。对于该项目所取得的成果,可以进行专利申请。

3-科研结题

项目开发完成后,为了能让自己的成果得到众人的认可,为了能让后续的技术开发人员更好地接替自己的工作,项目开发人员必须进行知识产权的申请,提交科研论文,撰写好项目的结题报告,做好成果的报奖以及成果的转化及产业化。

(1)知识产权的申请。随着知识经济发展和经济全球化进程的加快,知识产权的重要性得到了历史性的提升,国家的核心竞争能力日益体现为对智力资源和智慧成果的培育、拥有、配置和调控能力,所以申请知识产穿显的尤为重要。知识产权的申请包括实用新型专利的申请、发明专利的申请和计算机软件著作权的申请[4]。

(2)科研论文的撰写。科研论文撰写必须做到以下几点:选题要小题大做;论文的五个模块要规范;题目要反映全文所作的工作,名称要简洁明确不宜过长,一看题目就知道做什么研究;摘要要反映四要素——目的、方法、结果、结论,摘要是全文的缩写;关键词:要符合词条要求,每年均有新的词条;英文:要注意英文题目、摘要、关键词的规范性。正文:正文分为引言,主要内容和结束语。引言要论述为什么要做,主要内容要说明怎么做的,结束语(结论)要说明做得怎样。参考文献:要注意参考文献中的格式、文中标注、参考作用、新、经典等。

(3)项目结题验收及技术移交。项目完成后,要上交结题报告,并要做好技术移交的工作。在结题报告中要认真撰写研究工作总结。技术移交所要做的工作有移交源代码、硬件原理图、Protel电路图、软硬件使用说明书等,这样可以让后续的技术开发人员更快地了解先前项目的设计思路,进一步进行修改。

(4)成果报奖,成果的转化及产业化。成果报奖的范围有国家、省部级奖励;三大奖:自然科学奖、科技发明奖、科技进步奖。科研成果的转化及产业化有利于企业经济效益和社会效益的提高。胡锦涛总书记在视察中科院时强调加强与各创新单元,包括与大学、企业、行业的联合与共建,并努力加强产学研的结合,形成完整、有效的创新价值链。为此,加强科研成果的转化和产业化对我国的经济发展是大有裨益的。

三、结束语

高等学校的首要任务是培育人才,而培育人才的关键之一是科研[5]。做学问必须围绕科研,惟有通过科研工作才能培养科研能力。要尽量让研究生直接参与科研的各个环节或承担项目的子课题,提高他们的科研能力。

提高研究生的科研能力是培养高层次研究生人才的重要环节,有助于研究生分析问题和解决问题能力的提高[6],使学生不仅拥有丰富的专业知识,而且还拥有细致而又准确的观察能力;精确而又娴熟的操作能力;独创而又敏捷的思维能力;新颖而又大胆的想象能力;深刻而又敏锐的评判能力;简洁而又流畅的表达能力;沉着而又果断的组织决策能力;友善而又谦和的团队结协作能力[7],为他们成为一名合格的高级人才打下坚实的基础。

[参考文献]

[1]王会朋.注重科研能力培养着力提高研究生教育质量[J].国家教育行政学院院报,2007,(11):29-31.

[2]曾华锋,石海明,张茜.科研选题视角下研究生科研创新需培养的四种意识[J].高等教育研究学报,2007,(30):30-32.

[3]戴瑜兴,郑善贤.工学类研究生科研选题问题的探讨[J].实验室研究与探索,2006,(11):1500-1502.

[4]王景川.应高度重视培育和发展知识产权能力[J].中国经贸导刊,2007,(9):35.

硬件设计论文篇(8)

1 嵌入式软件开发的特点

嵌入式软件的开发具有如下几方面的特点:

1)需要交叉开发工具和环境。由于嵌入式软件本身不具备自主开发能力,即使设计完成以后用户通常也不能对其中的程序功能进行修改,因此必须有一套开发工具和环境才能进行开发。这些工具和环境一般基于通用计算机上的软硬件设备以及各种逻辑分析仪、混合信号示波器等。开发时往往有主机和目标机交叉开发的概念,主机用于程序的开发、调试,目标机作为最后的执行机构。开发时主机和目标机需要交替结合进行。

2)软硬件协同设计。软硬件协同设计涉及以下方面:嵌入式软件设计、实时系统设计、硬件设计和软件设计。软硬件协同设计强调硬件与软件的协同性与整合性、软件与硬件的可裁减,以满足系统对功能、成本、体积和功耗等要求。

3)嵌入式软件开发人员以应用专家为主。通用计算机的开发人员一般是计算机科学或计算机工程方面的专业人士,而嵌入式软件则是要和各个不同行业的应用相结合的,要求更多的计算机以外的专业知识,其开发人员往往是各个应用领域的专家。

4)软件要求固态化存储。为了提高执行速度和系统可靠性,嵌入系统中的软件一般都固化在存储器芯片或单片机本身中,而不是存储于磁盘等载体中。

5)软件代码高质量、高可靠性。尽管半导体技术的发展使处理器速度不断提高,片上存储器容量不断增加,但在大多数应用中,存储空间仍然是宝贵的,还存在实时性的要求。为此要求程序编写和编译工具的质量要高,以减少程序二进制代码长度,提高执行速度。嵌入式软件的核心是系统软件和应用软件,由于存储空间有限,因而要求软件代码紧凑、可靠,大多对实时性有严格要求。

6)系统软件的高实时性。在多任务嵌入式软件中,对重要性各不相同的任务进行统筹兼顾和合理调度是保证每个任务及时执行的关键,单纯通过提高处理器速度是无法完成和没有效率的,这种任务调度只能由优化编写的系统软件来完成,因此系统软件的高实时性是基本要求。嵌入式软件应用程序虽然可以没有操作系统直接在芯片上运行,但是为了合理地调度多任务,利用系统资源,系统一般以成熟的实时操作系统作为开发平台,这样才能保证程序执行的实时性、可靠性,并减少开发时间,保障软件质量。

2 软硬件协同设计概念

嵌入式软件设计是使用一组物理硬件和软件来完成所需功能的过程。系统是指任何由硬件、软件或者两者的结合来构成的功能设备。由于嵌入式软件是一个专用系统,所以在嵌入式产品的设计过程中,软件设计和硬件设计是紧密结合、相互协调的。这就产生了一种全新的发展中的设计理论——软硬件协同设计。这种方法的特点是,在设计时从系统功能的实现角度考虑,把实现时的软硬件同时考虑进去,硬件设计包括芯片级“功能定制”设计。既可最大限度地利用有效资源,缩短开发周期,又能取得更好的设计效果。

系统协同设计的整个流程从确定系统要求开始,包含系统要求的功能、性能、功耗、成本、可靠性和开发时间等。这些要求形成了由项目开发小组和市场专家共同制定的初步说明文档。系统设计首先确定所需的功能。复杂系统设计最常用的方法是将整个系统划分为较简单的子系统及这些子系统的模块组合,然后以一种选定的语言对各个对象子系统加以描述,产生设计说明文档。其次,是把系统功能转换成组织结构,将抽象的功能描述模型转换成组织结构模型。由于针对一个系统可建立多种模型,因此应根据系统的仿真和先前的经验米选择模型。

3 嵌入式软件开发的方法论

在建立一个完整的嵌入式软件或是产品时,大部分系统都很复杂,不但功能规格很多,还必须考虑例如价格、性能等其他因素,否则很容易做出一个失败的系统或是产品。因此,在进行系统开发之前,必须先了解一些系统设计技术,使得在开发过程中更为顺利。一般来说,产品设计的过程会经历几个步骤,为了确保这些步骤的合理性,我们需要一个设计方法论来面对整个设计过程。采用方法论有以下三个重要理由。

确认所做的每一件事情都是必须要做的,不做无谓的工作,也不漏掉关键性的重要工作,其中包含性能最佳化或是功能测试。

根据设计方法论可以发展出计算机辅助工具或是设计经验累积,汲取每一次产品开发的经验。再经过量化之后,可以发展出一套工具或是方法,让往后的产品设计步入自动化。

开发团队遵循同一套方法论,可以让团队成员更容易彼此沟通。每个人都能在短时间内了解整体过程中将经历哪些过程,需要何种支持与接收到何种结果。此外,也容易通过一套已经定义好的方法论,彼此相互合作协调。设计过程的目标是做出有一定用途且具有创新点的产品。产品的典型规格包含功能性、制造成本、性能表现、省电考虑和其他特性。

硬件设计论文篇(9)

 

1、引言

几十年前,人们所做的复杂数字逻辑电路及系统的设计规模比较小也比较简单,其中所用到的FPGA或ASIC设计工作往往只能采用厂家提供的专用电路图输入工具来进行。为了满足设计性能指标,工程师往往需要花好几天或更长的时间进行艰苦的手工布线。硕士论文,ITL。工程师还得非常熟悉所选器件的内部结构和外部引线特点,才能达到设计要求。这种低水平的设计方法大大延长了设计周期。

近年来,FPGA 和ASIC 的设计在规模和复杂度方面不断取得进展,而对逻辑电路及系统的设计的时间要求却越来越短。硕士论文,ITL。这些因素促使设计人员采用高水准的设计工具,如:硬件描述语言(Verilog HDL 或VHDL)来进行设计。

然而,Verilog HDL 硬件描述语言缺乏对于电路逻辑关系描述和分析的形式化方法,尤其是缺乏基于时序的逻辑描述。这对于化简和检验正确性都带来了麻烦。而ITL语言描述则提供了另一套基于时序的形式化解决方法,对Verilog HDL 硬件描述语言起到了很好的补充作用。

2、ITL简介

区间时态逻辑(interval Temporal logic,ITL)是一种用于描述离散区间或时段的逻辑系统,它是时态逻辑的一个分支。我们可以把一个区间(interval)看作是一个有限的状态序列;这里的状态就是从所有变量到其值的映射。区间的长度定义为该区间内状态数减 1。因此,只含有一个状态的区间的长度为0。一个区间s0… sn 的长度是n。一个只有单个状态的区间的长度是0。

ITL 的基本表达式和公式的语法如下所示

表达式:

公式:

其中,μ为一个整数值;a 为静态变量(在区间内不改变);A 为状态变量(在区间内

值可变);g 是函数符号;p 为谓词。硕士论文,ITL。下面我们以RS 触发器为例来说明ITL的使用:

一个RS 触发器是一个简单的储存和保持一位数据的记忆单元。两个输入决定了互补的输出和。S(Set)为置一,R(Reset)为置零。

图1 RS 触发器结构图图2 RS 触发器的真值表

按照传统的方法,根据真值表列出输入输出变量的逻辑方程,得到:

Qn+1=S+¬R*Qn

S*R=0

而用 ITL描述可以直接把逻辑关系(动作、谓词)写出来,再化简:

把时间等参数变量考虑进去,我们就可以得到RS触发器的结构方程:

3、Tempura

用ITL 能够方便准确地描述基于时序的数字电路,然而缺乏可执行能力,运算公式不能直接进行计算机仿真和验证。Tempura 则是ITL 强有力的可编程可执行的工具集,大大增强了ITL 的实用性。Tempura 是一种可直接执行的数字电路时序逻辑设计方式,是 ITL 的一个可执行子集。发展到今天,Tempura 已经能够直接在Windows 环境下运行。硕士论文,ITL。只要熟悉ITL 的语句,对照着Tempura 自带的指导工具,使语法公式一一对应就可以进行编程和仿真,十分方便。硕士论文,ITL。

下面我们还是以RS 触发器为例来说明

用VerilogHDL采用门级描述为:

moduleRS_FF(R,S,Q,QB);

input R,S;

output Q,QB;

nor (Q,R,QB);

nor (QB,S,Q);

endmodule

用VerilogHDL采用行为描述为:

moduleRS_FF(R,S,Q,QB);

input R,S;

output Q,QB;

reg Q;

assign QB=~Q;

always@(R or S)

case({R,S})

2'b01:Q<=1;

2'b10:Q<=0;

2'b11:Q<=1'bx;

endcase

endmodule

而根据前文所述的用 ITL描述的RS触发器改写成Tempura 语言,代码如下:

为了检验设计结果,需要输入仿真参量,代码如下:

(S=0) and (R=0)and (Q=0) and (Qbar=0) and

for lis<<1,0>,<0,0>,<0,1>,<1,0>,<0,0>>

do (len(5)and (Sgets l0) and (R gets l1)

)

and

(S,R)latch(Q,Qbar)

仿真结果如下,和真值表一样。

图3 仿真结果

传统的数字电路设计方法繁琐且不严谨,而且往往缺乏时序逻辑的描述能力。针对这个问题,HDL的使用为硬件设计师提供了一个非常好的分析和设计数字硬件的工具,也为沟通软件和硬件提供了一种方法。然而,这些 HDL 一般是为模拟数字硬件的功能而设计,往往比较适用于较低层级的设计。同时传统的HDL 设计方法缺乏对数字硬件推理和证明的机制;对行为描述的能力较弱,缺乏形式设计或验证的支持工具。形式化的设计方法则提供另一种强有力的数字电路描述。在软件工程中,形式方法已经取得一些引人注目的成就。但是在硬件设计领域,形式方法的应用研究和成就仍然在起步阶段。在国内的面向市场的数字电路设计,情况更是这样,形式方法的使用很是有限。ITL 等形式方法(特别是配以成熟高效的可执行工具,如Tempura), 将有效提高我们描述和设计数字电路。硕士论文,ITL。正如本文开头所说,在硬件设计速度赶不上软件速度的今天,形式方法将给我们带来一种新的突破思路,这在未来的电路设计领域将有广阔的应用和发展空间。

参考文献

[1]Benjamin C. Mosszkowski. ITL HandbookDecember 6, 2007

[2]Antonio Cau. Interval Temporal Logic Anot so short introduction 2009

[3]舒风笛。《面向嵌入式实时软件的需求规约语言及检测方法》,武汉大学,2004

硬件设计论文篇(10)

【中图分类号】G64 【文献标识码】A 【文章编号】2095-3089(2016)31-0243-01

引言

单片机理论、实验和课程设计相关课程体系是各高等院校电子电气信息类工科专业基础专业课程设置的重要组成部分,为大学生深入学习掌握单片机的基本原理,设计方法,实践应用等打下基础。大多数理工科高校开设这些课程,对学生进行综合培养。对于单片机的理论与实践教学,既可以选择汇编语言,也可以选择C语言进行。在高校的实际教学工作中,大多数老师是选择汇编语言进行教学的,因为从汇编语言入手能更好的掌握单片机的硬件资源使用原理等,也有部分老师是直接使用C语言进行教学,认为汇编语言编程过于繁琐,而C语言编程能够在将来的实践工作中得到更好的应用。本文通过总结作者多年来在单片机相关课程教学积累的经验和教学研究心得,以51单片机理论、实验和课程设计教学为例,对如何在使用不同编程语言进行繁琐和复杂的教学中使学生更好地掌握单片机知识体系进行了探讨。

1.单片机理论教学

1.1 汇编语言理论教学

单片机是一个把中央处理器CPU、数据存储器RAM、程序存储器ROM、并行IO接口、串行IO接口、定时器计数器、时钟振荡电路等集成在一起的控制芯片,硬件资源简单且丰富,也易于扩展电路,所以单片机的学习侧重点首先在于掌握硬件资源使用方法。因为汇编语言实际上是CPU能够识别的机器码的助记符,从汇编语言入手开始教学,能够使学生更好地掌握单片机的硬件资源使用原理。使用汇编语言编程可以很方便地对单片机存储器地址直接进行存取操作,也能很方便地对存储器地址进行整体安排分配,前提是对单片机硬件资源非常熟悉,能够灵活调用。比如指令MOV、MOVC和MOVX可以分别访问单片机内部的数据存储器、程序存储器和外部扩展的数据存储器。汇编编程的缺点在于进行算术运算和逻辑判断跳转等比较繁琐。

1.2 C语言理论教学

国内的单片机C语言程序设计教程多数的编排都会在前面开始的章节介绍单片机硬件资源以及汇编语言指令系统,然后以更大篇幅讲述C语言程序设计语法和针对硬件资源的编程。针对单片机的C语言程序设计语法与计算机C语言高级程序设计编程语法基本一致,而针对硬件资源的指令又类似于汇编语言指令系统,所以应该可以说单片机C语言程序设计是计算机C语言高级程序设计和汇编语言指令结合体。要掌握好C语言编程依然要对于单片机的硬件资源理解透彻并能灵活调用。但是C语言针对硬件资源的指令与汇编语言指令比较起来却不如汇编那么直观,也不如汇编指令那样更加有助于单片机硬件体系结构的理解。

为了使初学者能够更好地理解单片机硬件体系结构,掌握硬件资源的调用,选择汇编语言进行教学显然是更加合适的。

2.单片机实验教学

单片机作为电子电气信息类专业的一门专业基础核心课程,比某些专业基础课程如电路原理、数字电子技术、模拟电子技术等更加注重编程实践的练习。单片机实验课程一般都是配套安排在单片机理论课程的下半学期,这样能够更加有助于理论的理解和掌握。作为理论课程的配套课程,单片机实验课程在教学上必须与理论课程保持一致性,选择汇编语言进行实验教学就是必然和最好的选择。

在实验设备的选择上,学院实验室早期购置过星研单片机Star ES51实验箱,后来又购置了大批光佑STC开发板,可以两者选其一。

早期的单片机实验都是集中在实验室进行,两人共用一台实验箱,通过连线和读写配套程序仿真运行来进行实验。这样的实验有一些明显的不足,比如配套程序调用了很多键盘显示的子程序,而这些子程序没有直接给出来,实验箱的很多硬件电路连接也没有给出详细原理图,所以造成了实验结束后多数学生也是对实验原理和编程似懂非懂的结果。

有了STC开发板后,每个学生可以分配一块开发板,各自进行单片机的编程仿真实验,还可以将开发板带回宿舍课后继续练习,实验可以更方便地进行。实验开发板的原理图清晰,例子程序丰富,通过读写配套例程可以较好的掌握单片机的基本原理。

显然使用汇编语言选择STC开发板进行单片机实验是实验教学的最好安排。实验课程的要求注重于单片机基础知识的掌握和硬件资源的调用。

3.单片机课程设计教学

单片机课程设计的安排是为了进一步提高学生的实践能力,所以课程设计的教学就不再局限于基本原理的掌握,而是必须达到更高程度的实践效果。汇编语言编程有利于对基础知识的理解和单片机硬件资源的掌握,却不利于复杂逻辑的处理。所以在学生已经基本掌握了基础理论的情况下,课程设计选择C语言编程,可以让学生更加方便的进行复杂程序的编写。

单片机课程设计实践项目内容的安排上,必须注重单片机内部和外部硬件资源的综合调用,以便完成具有实际意义的综合程序的编写。课程设计实践项目安排了可以综合调用单片机I/O口资源进行键盘扫描数码管显示以及中断处理的实现计算器功能的程序编写,和能够使用I2C总线进行通讯的AD/DA处理的程序编写。这两种程序的综合度和复杂度都远远大于单片机实验题目的要求。从实践结果来看,通过这种综合性复杂程序的编写练习,能够大大提高学生的开发设计实际项目的动手实践能力。

结束语

在我国大力实行人才战略强调人才培养的大环境下,本文作者所在高校也响应国家号召加强本科生培养,实施卓越工程教育,取得了积极可喜的成绩。本文积极探索和提高单片机理论实验课程设计协同教学的方法,取得了长足的进步和发展,也得到了学生的高度认同。以此方法培养出来的本科生在参加全国大学生电子设计竞赛的过程中也取得了骄人的成绩。本文作者经验和方法也可以为兄弟院校相关专业的教学提供参考和借鉴。

参考文献:

[1]李朝青.单片机原理及接口技术(第4版),北京航空航天大学出版社,2013.7

[2]郭天祥.新概念51单片机C语言教程――入门、提高、开发、拓展, 电子工业出版社,2009.1

上一篇: 药学研究生论文 下一篇: 消防管理论文
相关精选
相关期刊