eda技术论文汇总十篇

时间:2023-03-20 16:09:23

eda技术论文

eda技术论文篇(1)

2.EDA技术在模拟电子技术理论教学中的应用

EDA即电子设计自动化,以计算机和仿真软件为工具,可以完成整个电路从系统级到物理级的设计与分析。常用仿真软件有Matlab、Protel、Multisim和PSpice等,考虑到Multisim先进的电路仿真和设计功能且一年级时曾作为学生的自修课程,本次教学研究采用Multisim软件。在模拟电子技术的理论教学中,对于那些概念分析抽象、不易理解的部分,利用Multisim,教师可以构建电子电路模型进行仿真演示,通过波形图和数据直观展示各种参数变化和虚拟故障对电路静态动态性能的影响,具体而又生动,不仅可以加强学生对理论知识的理解,还可以激发学生的学习兴趣,提高课堂教学效果。例如在模拟电子教学中第一次讲解共射放大电路时,很多同学对放大线路中各个节点的波形分不清楚,不知道直流信号和交流信号如何叠加在同一个电路中,电路中各节点信号的相位关系如何觉得难以理解。传统教学中,仅仅靠在黑板上画图讲解,教师难讲,学生难懂,费事费力效果却不好。现在针对这个问题,教师可以通过Multisim搭建基本共射放大电路模型,设置模型参数,观察仿真波形。共射电路输入信号(节点2波形)和输出信号(节点5波形)的反相关系,并且根据波形的峰值可以直接算出电路的电压放大倍数。节点2和节点4波形是静态工作点电压和交流信号叠加信号,c1和c2两个电容起到隔直作用。通过Multisim软件的演示过程,直接把抽象的理论转化成直观的视觉感受,电路各点波形在学生的脑海里留下深刻的印象,教学效果事半功倍。教学过程的前期,可以在课堂上现场建立电路模型,演示如何进行仿真,让学生逐渐掌握Multisim的使用。在教学过程的中后期,随着学生对Multisim软件的熟悉,为了节约课堂时间,可以事先把教材中需要讲解的电路模型搭建好,用到时直接调用即可。通过这种理论教学和软件演示相辅相成的教学方式,使得学生把电路原理、工作波形和数学关系等紧密结合在一起,全面掌握模拟电路的基础理论,更好地理解这门课程。

3.EDA技术在模拟电子技术实践教学中的应用

eda技术论文篇(2)

随着电子技术的发展革新,应用系统逐步朝向大容量、小型化、快速化的方向发展。数字化的设计系统也逐步由组合芯片向单片系统发展。EDA技术不仅带来了电子产品领域和系统开发的革命性变革,这也是科技发展与提高的必然产物。对于EDA技术的了解和对其在电子工程设计中的关键性分析都是十分有意义的。

1 EDA技术概述

所谓EDA技术,就是电子设计自动化,由CAE、CAD、CAM等计算机概念发展出现。EDA技术以计算机为主要工具,集合了图形学、数据库、拓扑逻辑、优化理论、计算数学、图论等学科,形成最新的理论体系,是微电子技术、计算机信息技术、电路理论、信号处理和信号分析的结晶。现代化的EDA技术具备很多特点,普遍采用了“自顶向下”的程序进行设计,保证了设计方案的整体优化,EDA技术的自动化程度更高,在设计过程中能够进行各类级别的调试、纠错和仿真,设计者能够及时发现结构设计的错误,避免了设计上的工作浪费,设计人员也能抛开细枝末节的问题,将更多精力集中于系统开发,保证了设计的低成本、高效率、循环快、周期短。EDA技术还能实现并行操作,建立起并行工程框架的结构环境,支持更多人同时并行电子工程的技术开发和设计。

2 EDA技术发展

电子工程设计的EDA技术自出现以来,大致可以分为三个历史时期:

2.1 初级阶段

大约在二十世纪的七十年代,早期的EDA技术处于CAD阶段,出现了小规模的集成电路,由于传统手工在制图设计中的集成电路和集成电路板的花费大、效率低、周期长,借助于计算机技术的设计印刷,采取了CAD工具实现布图布线的二维平面编辑和分析,取代了高重复性的传统工艺。

2.2 发展阶段

到了二十世纪八十年代,EDA技术进入了发展完善的阶段。集成电路的规模逐渐扩大,电子系统日益复杂化,人们深入研究软件开发,将CAD集成为系统,加强了电路的机构设计和功能设计,这一时期的EDA技术已经开始延伸到半导体芯片设计的领域。

2.3 成熟阶段

经过了长期的发展,直至二十世纪九十年代,微电子技术的发展突飞猛进,单个芯片的集成就能够达到几百万或是几千万甚至上亿的晶体管,这种科技现状对EDA技术提出更高的要求,推动了EDA技术的发展。各类技术公司陆续开发出大规模EDA软件系统,出现了系统级仿真、高级语言描述和综合技术的EDA技术。

3 EDA技术软件

3.1 EWB软件

所谓EWB是一种基于PC的电子设计软件,具备了集成化工具、仿真器、原理图输入、分析、设计文件夹、接口等六大特点。

3.2 PROTEL软件

该技术软件广泛应用了Prote199,主要由电路原理图的设计系统和印刷电路板的设计系统两大部分组成。高层次的设计技术在近年的国际EDA技术领域开发、研究、应用中成为热门课题,并且迅速发展,成果显著。该领域主要包括了硬件语言描述、高层次模拟、高层次的综合技术等,伴随着科技水平的提升,EDA技术也必然会朝向更高层次的自动化设计技术不断发展。

4 EDA在电子工程设计中的应用技术流程

近年来的EDA技术深入到了各个领域,包括了通信、医药、化工、生物、航空航天等等,但是在电子工程设计的领域中应用的最为突出,主要利用了EDA技术为虚拟仪器的测试产品提供了技术支持。EDA技术在电子工程设计的领域中,主要应用于了电路设计仿真分析、电路特性优化设计等方面。主要的技术流程如下:

4.1 源程序

通常情况下,电子工程设计首要的步骤就是通过EDA技术领域中的器件软件,利用了文本或者是图形编辑器的方式来进行展示。不管是图形编辑器或者是文本编辑器的使用,都需要应用EDA工具进行排错和编译的工作,文件能够实现格式的转化,为逻辑综合分析提供了准备工作。只要输入了源程序,就能够实现仿真器的仿真。

4.2 逻辑综合

在源程序中应用了实现了VHDL的格式转化之后,就进入了逻辑综合分析的环节。运用综合器就能够将电路设计过程中使用的高级指令转换成层次较低的设计语言,这就是逻辑综合。通过逻辑综合的过程,这可以看作是电子设计的目标优化过程,将文件输入仿真器,实施仿真操作,保持功效和结果的一致性。

4.3 时序仿真

在实现了逻辑综合透配之后,就可以进行时序仿真的环节了,所谓的时序仿真指的就是将基于布线器和适配器出现的VHDL文件运用适当的手段传达到仿真器中,开始部分仿真。VHDL仿真器考虑到了器件特性,所以适配后的时序仿真结果较为精确。

4.4 仿真分析

在确定了电子工程设计方案之后,利用系统仿真或者是结构模拟的方法进行方案的合理性和可行性研究分析。利用EDA技术实现系统环节的函数传递,选取相关的数学模型进行仿真分析。这一系统的仿真技术同样可以运用到其他非电子工程专业设计的工作中,能够应用到方案构思和理论验证等方面。

5 结束语

伴随着科学的发展,技术的革新,EDA技术的领域也在向高层次的技术推广和开发,成效十分显著。本篇论文我们对EDA技术的相关信息进行了详细的分析很研究,研究表明,EDA技术对于我国的电子工程设计改革具有巨大的推动力,基于EDA技术领域的电子产品在专业化程度和使用性能上都要比传统的设计方案制造的产品更加优化。将EDA技术应用到电子工程设计的领域当中,对于电子产品的优化和工作效率的提高以及产品附加值的拓展都有很大的作用。

参考文献

[1]白杨.电子工程设计中EDA技术的应用[J].科海故事博览.科技探索,2012(6):242.

[2]于洋.分析EDA技术在电子工程设计中的应用[J].电子制作,2012(12):83.

eda技术论文篇(3)

中图分类号:G424.21文献标识码:A 文章编号:

引言

电子设计自动化EDA ( Electronic Design Automation) 技术已经成为21 世纪科技进步的一个重要的突破口,它已经凭借自身的优点得到了越来越广泛的应用,为了提高在校学生的工程实践能力, 加强EDA教学方法的改革更是迫在眉睫的事情。

一、EDA技术的特点

目前 EDA 技术学习可分为3 个层次, 第一层次为PSPICE、Ewb、Matlab、System、View为软件平台的仿真分析类辅助设计技术;第二层次为QuartusII、Express、Foundation、NCSimulator等为软件平台、以FPGA/CPLD为硬件系统目标芯片的电子系统设计EDA技术;第三层次为NCSimulator、Virtuso、Diva等为软件设计开发平台、以集成电路芯片版图设计并最终流片为目标的ASIC芯片设计。

其中第二层次可以开设“可编程逻辑器件”、“ VHDL语言与数字系统设计” 等 EDA 技术课程, 学习VHDL语言以及 Quartus II、 Xilinx Express 等 EDA开发工具的使用, 学习用硬件描述语言、 状态机和电路原理图等多种方式在 FPGA/CPLD 芯片上设计综合电子系统。 学生在学习模拟电路和数字电路在内的电子技术基础课程和单片机技术等课程的基础上,已具备电子系统设计所需的电子技术基础知识和专业知识,通过学习掌握先进的电子系统设计技术 ——EDA 技术, 可以培养学生设计综合电子系统的能力。 如设计SCI 总线控制电路、 多路选择器编解码电路、 FFT 数字运算器的设计等,并鼓励学生自选设计课题。这些课程非常有效地提高了学生综合应用所学知识与开发电子系统的能力,为实现教学改革打下了坚实的理论基础。

二、EDA教学中培养学生创新能力的意义

近年来,我国电子信息产业发展迅速,总规模仅次于美国,位居世界第二,并已经成为我国第一大支柱产业,极大地带动了人才需求。但近年来,电子信息类专业毕业生和其它专业的毕业生一样,就业难问题日益突出,已成为高校、教育管理部门及社会关注的焦点。与此同时,大量的用人单位又感到创新型、高级技能型人才严重短缺。究其原因,主要在于大学生的创新意识不足、实践操作能力不强。因此,如何充分激发学生的创新意识、努力培养他们的创新能力,是目前高等教育发展中亟待解决的问题。具体如下:

1.有利于理论和实践的相统一.

通过教学方法的改革在课堂上融入现代化教学技术和手段,改变传统的理论与实践脱节问题,将理论教学和实践教学合二为一, 保证电子类课程改革的顺利实施。

2.有利于探索教学方式.

现如今我国高校进行的教学改革成果层出不穷,但是在职业教学中对于符合职教特点的教学方法研究还非常匮乏,随着电子课程综合化、模块化的转变和各种 EDA软件的不断完善,必须要产生一套与之相适应的新的教学方法。

3.有利于解决当前电子课程教学中的问题

在传统教学中,一般是先讲授课程理论,然后把结论告诉学生让学生去记忆,在这样的教学模式中,缺少高水平的思维活动。本课题的意义在于,通过教学方法的改革可以使专业知识和实践技能紧密结合,能培养学生解决实际问题的能力。

三、EDA教学中培养学生创新能力的方式和手段

1.合理安排教学内容

目前已有的通用教材不能满足研究性实践教学的要求, 我们编写了《 EDA技术与应用实践教学指导书》及其教学大纲。精选通用教材作为学习参考用书, 两部分内容互为补充, 互相支撑。

首先介绍EDA 技术的概念,介绍EDA 技术的先进性、实用性以及EDA 的设计流程,让学生对EDA 技术有比较全面的了解,提高学习兴趣。

其二,介绍EDA 工具软件的使用方法,为数字电路系统的设计与实验操作打下基础。

其三,介绍硬件描述语言(VHDL)设计实体(或模块)的基本结构,熟悉HDL 的语言要素,掌握运用HDL 实现各种类型数字电路及系统的设计方法。

最后,介绍EDA 技术在组合逻辑电路、时序逻辑电路和数字系统设计中的应用。

2.改革课堂教学方式,综合运用多种教学方法

以往的教学模式是理论教学和实验教学独立开课的,先由理论教师讲授理论知识,再由实验教师指导实验,在以往的实验教学中,发现大部分学生在做实验的过程中理论上所讲授的知识点忘记了,难以将理论知识运用到实践中去,甚至有可能出现理论与实验脱节的现象。该教学模式不利于学生及时理解消化理论课上所讲授的内容。为了改变这种弊端,我们将理论教学大纲和实验教学大纲进行修订,安排理论教学和实验教学同步进行,每次课安排4 个学时,理论知识讲授2 个学时,剩下2个课时安排学生进行实验,实验内容与理论内容相关。这样学生在学完理论知识后马上进行实验操作,可以让学生加深对理论内容的理解以及提高运用理论知识进行相关的电路设计的能力。如果学生在实验过程中碰到难以解决的问题,教师可以组织学生进行讨论,或针对问题进行深入讲解,让学生对重点难点内容加深理解,提高学生的学习信心和兴趣。

3.改革实践教学,深化能力培养

针对《EDA 技术与应用》课程实践性强的特点,在完成课堂教学内容后,给学生安排2 周的课外课程实训,实训的内容是完成一个小型的数字电路系统的设计,要求学生进行方案的设计和硬件描述语言程序的编写,利用Quartus II 软件平台进行程序的编辑、综合、功能仿真和时序仿真,最后把设计好的程序下载到EDA 开发板上进行硬件的验证。

另外,开设第二课堂,比如教师设计的课外制作以及全国大学生电子设计竞赛、机器人竞赛等是培养学生创新能力和实践能力的有效途径。以全国大学生电子设计竞赛为例,需要使用EDA技术的赛题超过全部赛题的三分之一,其中有的赛题达到了如果没有EDA技术,将无从下手的程度。事实上,赛题的内容是市场产品要求和技术进步的一种反映,竞赛本身也为学生提供学习、交流的平台,提供发挥创新精神、锻炼实践能力的机会。应该以此为契机,引导学生积极参与此类竞赛。

4.改革考核形式,突出技能考核

采用科学的评分方法。改变传统的实践教学评分方法, 降低设计报告评分的权重、着重设计思想的阐述和算法评价, 加强对学生的综合分析、设计总结等研究性能力的考察, 提倡设计、综合的独创性。

结束语

EDA 已经成为本科生的综合能力与素质必不可少的一部分,从其工程实践的重要性和实践教学的必要性来看,加强EDA实践教学都是非常迫切的。只要教师充分认识EDA课程重要性和必要性,认真细致的规划实验内容,调整教学方法,同时完善学生实践的评价体系,最终一定能使学生进一步增强学习兴趣,提高学生的实践创新能力。

参考文献:

[1]徐彦凯.双凯.姜珊 EDA课程设计课题的开发和体会 [J]实验室研究与探索2011(2).

eda技术论文篇(4)

引言

EDA(electronic design automation,电子设计自动化)技术就是依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件CPLD/FPGA或专用集成电路ASIC(Application Specific Integrated Circuit)芯片中,实现既定的电子电路设计功能。EDA技术使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台实现系统硬件功能,极大地提高设计效率,减少设计周期,节省设计成本。

在高校电子类专业的实践教学中,几乎所有理工科(特别是电子信息类)高校的电子实验都使用EDA软件,主要是让学生使用软件进行电子电路课程的模拟仿真实验,既能使设计出的电子系统具有高可靠性,又经济、快速、容易实现、修改便利,还能大大提高学生的实践动手能力、创新能力和计算机应用能力,为今后工作打下基础。

1.教学内容

《EDA技术及应用》是通信工程、电子信息工程等有关电子类专业的一门非常重要的专业基础课程,对后续专业课程的综合设计和工程设计实践发挥重要作用。如今,EDA技术已经成为电子设计的重要工具,无论是设计芯片还是设计系统,如果没有EDA工具的支持都将难以完成。EDA工具已经成为现代电路设计师的重要武器,正在起着越来越重要的作用。如果学生能很好地掌握这门技术进行电路的设计,对毕业以后就业会有很大帮助。

2.传统教学存在的弊端

传统教学模式是理论教学和实验教学独立开课,学生先学习理论知识,然后到实验室做实验。这种教学模式对于EDA课程教学有一定的弊端,由于第一次接触EDA语言和软件,大部分学生反映在上理论课的过程中存在许多难以理解的内容,在做实验的过程中难以将理论知识运用到实践中,为此,在上实验课时,为了让学生更好地明确实验目的,理解实验内容,实验教师还要花较多时间讲解实验内容,这样就导致实验时间不够充分,学生无法完成《EDA技术与应用》课程实验内容,更谈不上让学生动脑思考问题、解决问题,实验效果达不到预期目的,长期使用这种教学模式会导致学生依赖性增强,不利于培养学生的实践动手能力和创新能力。

3.改进教学模式

在授课模式上,针对EDA课程具有很强应用性的特点,应更注重培养学生的实际操作能力。结合现代教育中“练为主,学为次、教为辅”的教学理念,将授课地点安排在实验室,教学练一体化教学。课堂中围绕教学任务先讲解30至40分钟,讲解本节课的主要内容,举例对具体设计内容进行分析,编程演示。讲解之后安排相关的实验内容让学生编程。在学生编程遇到问题时,老师及时解决。通过这样一个过程,学生可以基本掌握VHDL语言的结构和语句的使用,以及这样的语句可以综合成什么功能,并且通过自己编写程序,消除对实际编程做设计的畏惧心理,同时调动学习这门课的积极性,激发进行深度探索学习的欲望。从硬件条件看,EDA实验室具有40套康芯公司的PK2EDA实验箱,可供容量为40人的班级每人一台机器进行编程练习。该实验箱采用ALTER公司的芯片,配备数码管,蜂鸣器、AD、DA、键盘、液晶显示、串口等电路单元,能在满足学生课内实验要求的同时满足部分学生进行科技制作、创新实验的需求。

4.实践教学的重要性

EDA技术是一门编程实现的课程,在理论课程结束后,安排为期一周的EDA实习环节,以项目的形式让学生完成,这样可以极大地激发学生的热情和竞争意识,从而让学生从硬件原理设计、编程实现及硬件调试整各方面熟悉EDA的整个设计流程。让学生三人一组,每个项目不仅要求学生在硬件上实现功能,而且要求写设计报告、现场演示及PPT汇报。通过学生作品功能完整性、合理性、文档的条理性及汇报情况决定学生的得分。

5.结语

目前EDA技术的应用越来越广泛,EDA技术更新很快,新的可编程逻辑器件不断涌现,要求高校EDA技术的教学能够为社会培养具有一定实践和创新能力的EDA人才。EDA技术课程在高校电子类专业教育中发挥着不容忽视的作用,通过“练为主”的教学尝试,实验室教学、实战教学等措施,极大地培养学生的学习兴趣,训练学生的动手实践能力,培养学生主动获取知识、灵活运用知识的能力。

参考文献:

[1]潘松,黄继业.EDA技术与VHDL(第2版)[M].北京:清华大学出版社,2007.

[2]王紫婷.EDA技术在电子工艺实习中的应用[J].实验技术与管理,2003(增刊):20-22.

eda技术论文篇(5)

一、前言

作为现代电子设计技术的核心,EDA(Electronic Design Automation)技术是以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述的主要表达方式,以可编程器件PLD(Programmable Logic Device)为实验载体,依赖功能强大的计算机,在EDA工具软件平台上,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线)以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术的应用使得设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大的提高了设计效率,缩短了设计周期,节省了设计成本。EDA技术涉及面广,内容丰富,融合了的微电子、电路系统、计算机应用等多个学科。EDA技术的本质是电子产品的自动化设计过程,其相关设定分别如下:工作平台为计算机,设计语言为硬件描述语言,实验载体为可编程器件,应用方向为电子系统设计。在电子线路设计中应用EDA技术可实现一体化设计,周期时间大幅度缩短,设计效率得到进一步提升。因此,对EDA技术在电子线路设计中的应用进行分析,对于EDA技术的现代应用和电子线路设计的长足发展有着积极的现实意义。

二、EDA技术的产生背景与内容

电子设计自动化的简称就是EDA技术,因为现代社会计算机,集成电路和电子系统的高速发展,所以电子设计技术就应运而生了,他的出现可以提高人们对于电子电路系统设计的能力,这种技术对于应用电子技术,计算机技术和智能化技术都有集成,所以能够对于各种电子通信方面的设计进行辅助的设计,目前来看,该项技术主要是对于IC的设计,电子线路的设计以及PCB板的设计起到了一定的作用,而且在日常运用的范围较广,当前,因为电子技术和计算机技术对其的推进作用,所以在国家的各个行业都有了大量的应用,比如国防,昂天,仪器仪表,工业自动化等等,该项技术正在以惊人的速度发展,逐渐变成了当今电子技术发展的前沿。

(一)EDA技术的产生背景

上世纪后半期,计算机和集成电路迅速发展起来,电子技术面临着新的机遇和严峻的考验。因电子技术周期不断缩短,其与专用集成电路设计难度日益提升间的矛盾日益加剧。这一形势下,就需要应用高层次的设计工具和新的设计方法来解决这一问题,而EDA技术就是在这一现实背景下应运而生的。

(二)EDA技术的内容

EDA技术主要包括四方面内容:第一,可编程逻辑器件(大规模);第二,硬件描述语言;第三,软件研发工具;第四,试验开发系统。EDA技术在电子系统设计的应用过程当中,其四方面内容依次扮演着载体、表达手段、设计工具、下载与硬件验证工具。

三、EDA技术的发展

回顾自20实际90年代初到如今近30年电子设计技术的发展历程,EDA工具的发展经历大致可划分为三个阶段:计算机辅助设计(CAD),计算机辅助工程(CAE)和电子设计自动化(EDA)。

(一)计算机辅助设计CAD(Computer Aided Design)阶段

20世纪70年代是EDA技术发展的初期阶段,人们开始使用计算机辅助进行IC版图编辑和PCB布局布线,使设计者从繁琐,重负的计算和绘图中解脱出来,由于PCB布局布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能较差。

(二)计算机辅助工程设计CAE(Computer Aided Engineering)阶段

20世纪80年代为CAE阶段,此时EDA工具主要以逻辑模拟,定时分析,故障仿真,自动布局和布线为核心,如果说CAD工具代替了设计工作中绘图的重复劳动,则CAE工具则代替了设计师的部分工作。然而,大部分从原理图出发的EDA工具仍不能满足复杂电子系统的设计要求。

(三)电子设计自动化EDA(Electronic Design Automation)阶段

20世界90年代,设计工程师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发,即片上系统集成。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。可以说,20世纪90年代EDA技术的发展是电子电路设计的革命。

四、EDA技术在电子系统设计中的理论应用

(一)EDA技术在电子系统设计中的应用优势

在电子系统设计中应用EDA技术,使得设计人员不必通过门级原理图来对电路进行描述,而只需对设计目标功能作出描述。电路细节方面的的束缚得以摆脱,设计人员能够将更多精力放在概念构思和创造性方案上。而当通过高层次描述将这些概念构思输入计算机后,EDA技术便可以规则驱动形式来实现整个设计的自动完成。这样,新概念能够有效迅速地转化为产品,产品研制周期大大缩短。

(二)EDA技术在电子系统设计中的基本应用步骤

高层次设计法是EDA技术在电子系统设计应用中的有效形式,其基本步骤如下:第一,通过“自上而下”形式的设计手段来划分系统;第二,完成VHDL代码的输入,并应用图形法来EDA实验室进行仿真输入;第三,对设计输入做编译处理,使其转化为VHDL标准文件;第四,采用仿真器来优化处理VHDL源代码,进而生成网表文件;第五,参考网表文件,应用适配器件来对对具体目标器件做逻辑映射操作;第六,经下载电缆或编程器来讲器件编程文件载入目标芯片中,如需更换综合库,只需通过ASIC的形式即可完成。

五、EDA技术在电子线路设计中的现实应用

(一)分频器的设计要求

分频器是基本的电子线路,依据设计的不同要求,通常会遇到半整数分频、整数分频等,等占空比、非等占空比也会成为设计有时的要求。同一设计中,多种形式的分频要求也往往存在。鉴于EDA技术的设计应用,本文将设计目标定位基准信号整数分频的实现。

(二)分频器的设计思路

假设系统输入信号为时钟信号,分别设定其频率、周期、占空比为60MHZ、20微秒、30%。之后将输入信号视作敏感信号,并进行4分频处理,这就就得出相应的输出信号。同时,设置一个复位信号于另外系统中,并配备相应计数器,随之融入进程中即可实现设计目标。

(三)分频器的设计实现

分频器的设计实现分六步来进行,第一步,找到应许程序中的QuartusII标志,将其打开;第二步,进行新工程项目的建立。在已有工程项目完成的情况下,作“Open Existing Project”的单击处理,并对项目保存路径进行选择。这里,即可应用原有文件夹,也可建立新文件夹,随之输入相应的项目名称,便可在项目中完成文件的加载。之后,进行FPGA芯片的选择,以试验箱芯片型号为依据来作出选择,并通过对芯片封装、引脚数、速度三栏自上而下的选择,来将芯片选择范围进一步缩小。完成芯片选择后,来对所需调用的EDA工具作出选择,因本文不涉及调动,故可直接点击下一步,待出现工程对话框后,点击完成即完成本步操作;第三步,建立硬件描述语言文件。单击工具栏File菜单栏正下方的New图标,输入已经编写好的语言程序于程序输入框内。待输入完毕后,加以保存并确定文件名(文件名应与硬件描述语文和工程名中的模块名相一致)。这时,单击工具栏中编译图标,如无错误,电机确定即可,如弹出警告信息,其信息中对设计问题有相应的说明;第四步,建立仿真波形图。类比于上一步骤,不同之处,在于选择“New”中的波形文件,双击其下空白处,进入到时序仿真端口当中,单机“OK”即完成仿真端口的选择;第五步,仿真。在菜单栏中对仿真截止时间进行设置,通常情况下位20微秒。之后,进行输入的设置,在时钟对话框中对起始时间、周期、结束时间进行设置。最后对低电平或高电平数据范围进行选择,完成后保存,且注意应保持波形文件同模块名、项目名的一致性;第六步,编译。对仿真波形图进行编译,使其每隔四个时钟周期,能够在输出端得到等占空比的四分频波形。之后,改变占空比,或对计数器技术状态值作出稍微改变,多种形式分频随即实现。

六、结束语

通过论述EDA技术在电子线路设计中的现实应用,可以看出,EDA技术简化了繁琐的设计工作,表现出较好的应用效果,能够满足电子线路的设计要求。21世纪是EDA技术的发展高速期,其应用正在朝着数模混合电路和模拟电路的方向迈进,EDA技术必将突破电子设计范畴,来进入其他领域。且随着EDA技术设计应用的日益成熟,其定将在设计领域得到更为广泛的应用。

参考文献:

[1] 邱军兴.EDA技术在电路设计中的地位和作用[J].西安文理学院学报.2009(8).

[2] 贾民力.EDA仿真技术在电子线路设计中的应用[J].青海大学学报.2009(23).

[3] 崔葛.基于FPGA的数字电路系统设计[M].西安:电子科技大学出版社.2008 .

[4] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).

[5] 马楠.周焱.EDA在射频电子电路设计中的应用[J].山西电子技术.2005(2).

[6] 王树昆等.EDA仿真环境的研究与应用[J].山东省青年管理干部学院学报.2006(1).

[7] 潘松.黄继业.EDA技术与VHDL(第3版)[M].北京:清华大学出版社.2009.(9).

[8] 王平.EDA技术的电子系统设计[J].中国科技博览.2011(38).

[9] 杜玉远.EDA设计快速入门[J].电子世界.2004.

[10] 路而红.电子设计自动化应用技术[M].北京:高等教育出版社.2006.

[11] 徐宏庆.电子线路设计中仿真设计软件的应用[J]..中国现代教育装备.2010(2).

eda技术论文篇(6)

[基金项目] 衡水学院教改项目(项目编号:JG2012066)

【中图分类号】 TN02-4 【文献标识码】 A 【文章编号】 1007-4244(2013)05-062-2

一、引言

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。现在对EDA的概念或范畴用的很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。随着人才市场对EDA相关人才日益增长的需求,使得学生对掌握EDA技术有着越来越浓厚的兴趣,这也使得越来越多的工科院校的EDA教学与单片机、微机原理等的教学一样,对本科生进行普及教学,因此研究“EDA技术及应用”课程的教学模式有重要的现实意义。

二、EDA技术及应用课程简介

EDA技术及应用课程是通信工程、电子信息工程、自动化、计算机科学等专业的一门重要专业课。其目的是使学生掌握当今最先进的电子系统集成设计(芯片设计)技术和系统设计思想,为以后的深入学习和从事有关数字电路领域的系统设计、芯片集成等工作打下坚实的基础。本课程理论与实践并重,在强调理论知识学习的同时,安排较多的学时数用于实验设计课的实践。依靠学校“EDA实验室”,为学生提供良好的实践环境,注重培养学生的创新精神和实际动手能力,使学生通过实践,真正理解并掌握EDA技术,设计并实现真实的电子系统。

EDA技术及应用课程涉及的知识面广,综合性强。该课程不仅要求学生了解和掌握EDA的理论知识和相关内容,而且还要具备数字电路和计算机原理方面的知识。其次,EDA技术是一门实践性很强的课程。课本里的知识只有通过实验等实践环节才能加深理解和掌握,而所学的知识最终也要运用到电子系统设计中。最后,EDA课程内容众多,EDA技术更新很快。由于EDA系统中所用的可编程逻辑器件的命运由市场决定,各个芯片生产厂商为了占有更多的市场,不断进行技术创新,从而导致产品的更新速度越来越快。

为了学好EDA技术及应用这门专业课,学生必须具有比较好的数字电路和C语言程序设计等基础课程知识以及微机原理等专业技术课知识。根据教学应用型本科“厚基础、宽口径”的人才培养模式,我院为本科生开设了有关EDA的课程,并让学生在有限的课时内了解EDA基础知识、掌握EDA关键技术并具备一定的应用能力,这要求在EDA教学过程中采用合理的教学模式。

三、 EDA技术及应用课程教学特点

(一)课时紧,内容多

由于目前的EDA课程一般作为选修课,存在学时偏少这个问题。而EDA理论涉及的内容又相当的多。如可编程逻辑器件FPGA/CPLD结构原理、VHDL语言的语法结构、VHDL程序设计、开发环境Quartus II的使用构成了EDA的教学内容。在课堂上根本不可能一一讲解,只能有选择地介绍。

(二)实践性强

EDA教学突出的是应用,所以这门课以学生实验操作为主。EDA的开发环境Quartus II的使用在实践中完成。因此我们在EDA实验室提供了EDA综合实验装置和计算机,学生可以在EDA实验室完成各种实验。

四、EDA技术及应用课程的教学模式

由于EDA技术及应用课程的学时有限,本校教学计划中该课程仅安排了54学时。课程由理论和实验两部分组成,其中课堂教授36学时,实验18学时。因此,教师要在教学内容的选择方面下功夫,优化授课内容,简化基础理论,突出重点和难点。

(一)理论教学

在理论教学时,利用可编程逻辑器件FPGA/CPLD实现电子系统的设计是课程的基点,算法基于VHDL语言予以实现,因此可编程逻辑器件FPGA/CPLD结构原理及VHDL语言着重讲述。

在理论教学过程中,教师的直接讲授和启发式提问相结合,引导学生经历“提出问题-学习研究-分析问题-解决问题”的构建知识的过程。例如在课堂的初始阶段就提出“什么是可编程逻辑器件”及“FPGA/CPLD的结构是怎么样的”等问题,让学生带着问题去看书,逐步对可编程逻辑器件的结构和原理有一个整体的概念。这样,学生根据问题进行探究式学习,教师在适当的时候,总结归纳关键知识点,以此改善教学效果。

在教学方法上注意前后知识的衔接和联系。如在学习VHDL语言的过程中,并不是直接的讲解VHDL语言的语法结构,而是从学生已有的数字电路的知识举例讲解。由于学生们对数字电路已经有相应的基础,列举数电中组合电路和时序电路中的例子多路数据选择器和十进制计数器的设计,学生很熟悉这两个设计的功能,然后引入用VHDL如何实现,从而给出VHDL程序,进而详细地讲解其中所涉及到的语法现象,学生容易接受和理解。

在教学手段上,利用多媒体课件来进行教学,课件中包含大量的程序、电路图、仿真图等实例,可以给学生更直观的认识。例如FPGA/CPLD的内部结构、程序流程图、波形仿真图等很难单纯用语言文字讲清楚的内容,可以用多媒体来很好地展示。

(二)实验教学内容

为保证课时的连续性和一致性,EDA技术及应用实验由理论老师指导。与传统验证性实验不同,它要求学生按步骤地自主独立完成实验的各个环节。从学习EDA软件入手,掌握软件开发流程,进一步理解FPGA/CPLD内部硬件结构,同时通过参与整个实验,让学生了解如何分步骤地完成电子系统实现及软、硬件的联合调试,体会利用EDA技术设计电子系统的优势。

实验教学内容的设置由浅入深、由基础到综合,注重对学生实践动手能力和创新能力的培养。实验内容通常由五个层次组成:第一层次实验任务是验证性实验,通常提供详细的并被验证的设计程序和实验方法,学生只需将提供的设计程序输入计算机,并按要求进行编译仿真,在实验系统上即可实现,使学生有一个初步的感性认识,这也提高了实验的效率;第二层次实验任务是要求在上一实验基础上作一些改进和发挥;第三层次的实验通常是提出自主设计的任务和要求;第四、第五实验层次则在仅给出一些提示的情况下提出自主创新性设计的要求。因此可以根据学时数、教学实验的要求以及不同的学生对象,布置不同层次、含不同任务的实验项目。教师在实验教学过程中,对学生实验中出现的问题,从设计思路和实现方法上加以引导,主要培养学生分析问题和解决问题的能力。

五、结束语

EDA技术及应用作为一门综合性强、内容多、侧重应用、内容更新快的课程,需要不断地丰富理论和实践的内容,进一步完善教学模式,尤其要在实践教学上进行升入的探索,以便更好地促进学生EDA应用能力的培养,这样才能培养出紧跟时代步伐的有技术、有创新能力的合格的应用型人才。

参考文献:

[1]张利,高晶敏,杨秀媛.EDA技术课程教学模式改革探索[J].中国电力教育,2011,(11).

eda技术论文篇(7)

一、EDA技术概念及现状介绍

EDA是电子设计自动化(ElectronicDesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。是计算机信息技术、微电子技术、电路理论、信息分析与信号处理的结晶。现在对EDA的概念或范畴用得很宽。包括在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等各个领域,都有EDA的应用。EDA在教学、科研、产品设计与制造等各方面发挥着重要的作用。在教学方面,现在几乎所有理工科类的高校都有开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造方面,包括计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节。EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

二、EDA技术的特点

EDA技术之所成为今天电子信息工程中的重要技术,具有“自顶向下(Top—Down)”的设计程序,这就确保设计方案整体的合理化;由于EDA采用高级语言描述,有语言公开可利用、描述范围广、可以系统编程和现场编程等特点;自动化程度高所以可以进行各级的仿真、纠错和调试工作。这些特点促使EDA技术得到广泛的应用。

三、EDA技术的作用

EDA技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到电路特性的优化设计。由于受到测试手段和仪器精度限制,测试的时候会出现很多问题,DEA技术方便得全功能测试解决了数据测试和特性分析的问题。

四、EDA常用软件

EDA软件发展很快,目前被我国广泛应用的有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。下面简单介绍一下PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件。

1、PCB设计软件。PCB(Printed-CircuitBoard)设计软件更是种类繁多,如Protel、OrCAD、Viewlogic、PowerPCB、CadencePSD、MentorGraphices的ExpeditionPCB、ZukenCadStart、Winboard/Windraft/Ivex-SPICE、PCBStudio、TANGO、PCBWizard(与LiveWire配套的PCB制作软件包)、ultiBOARD7(与multiSIM2001配套的PCB制作软件包)等等。

eda技术论文篇(8)

 

EDA技术是以数字电子技术课程知识为基础,具有较强实践性、工程性的专业课程。将数字电路设计从简单元器件单元电路设计,EWB软件仿真提到了更高一级的可编程操作平台上,进一步巩固和提高学生电子电路综合设计能力。但是,传统的教学模式是将两门课程分开,先上数字电路,后上EDA技术,分两学期授课。这样的教学模式存在弊端,减弱了课程之间的联系,降低了学生对数字电路理论的认识程度。通过对EDA技术课程的教学改革,以实训的方式采用项目教学法,使学生在较短的时间内掌握EDA技术基础及其实验系统,从数字系统的单元电路,如译码器、计数器等入手,加深对数字电路基础理论的认识,逐渐完成数字系统设计。

1. EDA技术及其在教学中的应用

1.1 EDA技术

EDA技术即电子设计自动化(Electronic DesignAutomation)是以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果而形成的一门新技术毕业论文格式,是一种能够设计和仿真电子电路或系统的软件工具。采用”自顶向下”的层次化设计,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。图1为一个典型的EDA设计流程。

图1 EDA设计流程图

1.2 EDA技术在教学中的应用

在教学过程中,EDA技术利用计算机系统强大的数据处理能力,以及配有输入输出器件(开关、按键、数码管、发光二极管等)、标准并口、RS232串口、DAC和ADC电路、多功能扩展接口的基于SRAM的FPGA器件EDA硬件开发平台,使得在电子设计的各个阶段、各个层次可以进行模拟验证,保证设计过程的正确性。从而使数字系统设计起来更加容易,让学生从传统的电路离散元件的安装、焊接、调试工作中解放出来,将精力集中在电路的设计上。同时,采用EDA技术实现数字电路设计,不但提高了系统的稳定性,也增强了系统的灵活性,方便学生对电路进行修改、升级,让实验不在单调的局限于几个固定的内容,使教学更上一个台阶,学生的开发创新能力进一步得到提高。

2.课程教学改革实施

2.1课程改革思路

课程改革本着体现巩固数字电路基础,掌握现代电子设计自动化技术的原则来处理和安排EDA技术教学内容。打破传统的从EDA技术概述、VHDL语言特点、VHDL语句等入手的按部就班的教学方法,以设计应用为基本要求,开发基于工作过程的项目化课程,以工作任务为中心组织课程内容,让学生在完成具体项目的过程中来构建相关理论知识。将EDA技术分为四个方面的内容,即:可编程逻辑器件、硬件描述语言、软件开发工具、实验开发系统,其中,可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。采用项目化教学方法,以实训的方式展开,让学生在“学中做,做中学”。

2.2课程改革措施

以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。在典型示例的说明中,自然地给出完整的VHDL描述,同时给出其综合后的表现该电路系统功能的时序波形图及硬件仿真效果。通过一些简单、直观、典型的实例毕业论文格式,将VHDL中最核心、最基本的内容解释清楚,使学生在很短的时间内就能有效地掌握VHDL的主干内容,并付诸设计实践。这种教学方法突破传统的VHDL语言教学模式和流程,将语言与EDA工程技术有机结合,以实现良好的教学效果,同时大大缩短了授课时数。表1为课程具体内容及实训学时分配。

 

能力

目标

学习情境

项目载体

课时

QuartusⅡ开发工具使用能力

QuartusⅡ开发环境、实验系统

二选一音频发生器设计

6

VHDL语言编程能力

VHDL语言基本结构

计数器电路设计

6

VHDL语言并行语句

8位加法器设计

8

VHDL语言顺序语句

7段数码显示译码器设计

8

VHDL语言综合运用

数控分频器的设计

8

层次化调用方法

4位加减法器的设计

4

综合开发调试能力

8位16进制频率计设计;

十字路口交通灯设计;

数字钟设计;

波形信号发生器设计,等。

(任选一题)

20

总计

eda技术论文篇(9)

1、EDA技术概念及现状介绍

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。是计算机信息技术、微电子技术、电路理论、信息分析与信号处理的结晶。

现在对EDA的概念或范畴用得很宽。包括在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等各个领域,都有EDA的应用。EDA在教学、科研、产品设计与制造等各方面发挥着重要的作用。在教学方面,现在几乎所有理工科类的高校都有开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造方面,包括计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节。EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

2、EDA技术的特点

EDA技术之所成为今天电子信息工程中的重要技术,具有“自顶向下(Top―Down)”的设计程序,这就确保设计方案整体的合理化;由于EDA采用高级语言描述,有语言公开可利用、描述范围广、可以系统编程和现场编程等特点;自动化程度高所以可以进行各级的仿真、纠错和调试工作。这些特点促使EDA技术得到广泛的应用。

3、EDA技术的作用

EDA技术中的温度分析和统计分析功能可以分析各种温度条件下的电路特性,便于确定最佳元件参数、最佳电路结构以及适当的系统稳定裕度,真正做到电路特性的优化设计。

由于受到测试手段和仪器精度限制,测试的时候会出现很多问题,DEA技术方便得全功能测试解决了数据测试和特性分析的问题。

4、EDA常用软件

EDA软件发展很快,目前被我国广泛应用的有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。下面简单介绍一下PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件。

4.1 PCB设计软件

PCB(Printed-Circuit Board)设计软件更是种类繁多,如Protel、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGraphices的Expedition PCB、Zuken CadStart、Winboard/Windraft/Ivex-SPICE、PCB Studio、TANGO、PCBWizard(与LiveWire配套的PCB制作软件包)、ultiBOARD7(与multiSIM2001配套的PCB制作软件包)等等。

4.2 IC设计软件

IC设计工具也很多,ASIC设计领域有名的软件供应商主要有Cadence、Mentor Graphics和Synopsys。中国华大公司也提供ASIC设计软件(熊猫2000)。

eda技术论文篇(10)

由于集成电路技术和计算机技术的高度发展,设计自动化的观念和EDA工具的使用水平已成为度量工程师能力的一个重要方面。国内各高校都投入了大量的人力物力建立EDA实验室。EDA实验环境的硬件、软件和服务水平在某种程度上已成为衡量该校硬件水平、教学水平和办学水平的一个重要标志。我校在教育部电工电子教学基地的建设过程中,始终对EDA的教学和实验环境的建设给予了足够地重视,专门成立了EDA(实验)中心,旨在负责全校EDA实验教学,EDA项目开发和新技术推广工作。经过近两年的建设,EDA中心已初具规模,为全校的EDA教学提供了良好的实验环境,促进了我校电类现代化课程教育的发展。

1EDA实验环境的建设

EDA(实验)中心的建设起始于1998年初,学校先后投入资金近百万元,第一期工程建立起配备有40台Pentium166MMX微机的多媒体实验室和硬件实验室各一个;1999年进行了第二期工程,又建起了一个包含40台PentiumⅡ400微机和两台专用服务器的网络实验室和一个管理办公室。并进行了软件建设和有关实验项目的开发。到目前为止已建立起的软硬件环境如表1。

在EDA中心的建设中,我们遵循以下原则:

(1)创建一流具有EDA特色的实验环境。EDA的实验环境的好坏在某种程度上直接影响电类学生对现代化技术的掌握,同时EDA的实验环境也是一个窗口,代表了一个学校现代化教学的形象。EDA中心的建设不应等同于一般的计算中心或机房的建设,应该具有EDA特色,那就是要有丰富的EDA软硬件支持,要有得力的指导开发力量。为了建设好一流的EDA实验环境,我们成立了专家指导小组指导环境的建设,并多次派人到EDA教学较好的学校去参观学习。为了体现时代的特点,我们将中心80台机器内部互连,整个内部网络完全按照Internet技术规范设计,能提供全套Internet服务。中心内部网络还通过Linux网关与校园网相连。服务器采用WindowsNT4.0、Linux5.0、Net-ware3.12,工作站安装了DOS6.22、Win-dows98(中、英文)、WindowsNT、Linux等操作系统。

(2)严把质量关,高质量完成建设。EDA所有软硬件设备的引进都经过认真市场调查研究,并严格的检测,对不合格的产品坚决清除。如曾进一批微机,检测后发现配置与样机不符,立刻退货,重新购置。软件的建设对EDA来说是体现特色的关键性建设,尽管EDA软件投资较大,为保证实验质量,划出专项资金,引进许多最新的正版EDA软件。

(3)加强外联,寻求多方支持。EDA教学的开展需要许多方面的技术支持,为了做好这方面的工作,我们加强了校际之间的技术交流以及与EDA软硬件开发商家的联系,可以从中得到了许多必不可少的帮助,如东南大学赠送给我们不少非常有用的EDA软件和设备,美国Altera公司通过其大学项目赠送给我们专业版和网络版的Max+PlasⅡ软件开发工具等。

(4)鼓励师生开发EDA教学项目。我校EDA教学项目的开发进行得比较早,并已取得了不少成就,EDA中心成立后更加强了这方面工作的开展,目前已自行开发并用于教学有ISP和FPGA等实验装置,全定制的A-SIC实验环境也正在紧锣密鼓地准备中。

(5)勤俭节约,变废为宝。EDA的某些硬件实验对微机要求并不高并且有可能对微机产生伤害,为此我们从校计算中心等地方找来约20台淘汰了的386和486微机,建成了硬件机房,很好地解决了这类问题并节约了不少资金。

中国-2EDA实验环境的管理

我校的EDA实验环境主要面向电子工程系和自动控制系学生,行政上挂靠电子工程系。为了便于协调管理,两系分别任命了兼职正副主任负责中心的建设和日常管理。

在EDA(实验)中心的日常管理中我们努力做到:

(1)全心全意为EDA教学服务。EDA(实验)中心建立的主要目的之一就是为全校师生的EDA教学实验提供方便。为了做好服务工作,我们尽力满足师生的要求。如有教师希望通过网络授课,我们引进了Lanstar网络教学系统软件。有教师需要提供语音教学服务,我们购买了无线话筒。有一些国外引进的EDA软件需要用英文操作系统,中心工作人员经过多次试验,使中英文操作系统能够方便地切换。中心的Internet网及打印机等设备也均向师生开发。

(2)开放式的实验环境。中心除正常设备维护日外,保持全日制开放。开放时除了安排一般值班人员外,还经常安排对EDA技术熟悉的教师或研究生进行现场指导,学生可以自由地上机实习。

(3)不断推广EDA新技术。EDA技术的发展速度非常快,我们利用中心与外界联系较多的优势,不断向师生推荐EDA新技术和新方法。如我们曾请经销商来介绍最新版本的PADS、EWB等EDA软件的特点和使用方法,与东南大学EDA实验中心合作举办了两期全国性的EDA研讨班。

3效果分析

(1)EDA中心自投入使用以来,已为电类专业的学生开设了“电路”、“电子线路”、“数字电路”、“信号与系统”等十多门课程的上百个实验,部分实验还延伸到非电类专业的“电工学”课程中;每年还有几十名本专科生和研究生在这里进行毕业设计和课题上机。总上机时数已达4万机时。

(2)EDA中心的构建,推动了我校多门类课程的教学内容、教学方法的改革。就数字电子课程而言,迫切需要充实现代化的设计思想和设计方法,EDA中心的服务为此创造的必要的条件。由于EDA中心的大量工作,使教师可以在课常上集中精力和时间与学生一起共同体验前人创造知识的途径与方法,而把一些繁琐的计算交给EDA工具,学生通过EDA工具的使用去实践他们在课堂上学到的方法和思路。对电路分析、模拟电子线路、数字系统设计等课也起到了同样的作用。

(3)开放式的管理,方便了学生,激发了学生的学习热情和求知欲。如有一位在给电类提高班讲课的教师发现,原拟定通过Lanstar授课系统对学生讲授EWB的使用方法,结果在现场却发现,不少学生已初步学会了EWB的使用,其原因是他们已主动地到EDA中心,通过自学,进入了EDA环境。这是一个学生主动学习的例子。通过这样的学习客观上提高了学生的自学能力,反映了他们高度的学习热情和求知欲,这也为他们将来自行掌握更现代化、更复杂的EDA工具打下了基础。

(4)EDA中心成为学生第二课堂的场地,培养学生从事科学研究的能力。如数字系统设计的老师利用EDA中心进行电子设计竞赛,取得很好的效果,受到了学生的欢迎。

上一篇: 建筑智能化论文 下一篇: 评电力职称论文
相关精选