计算机工程与科学杂志社
分享到:

计算机工程与科学杂志

《计算机工程与科学》杂志在全国影响力巨大,创刊于1973年,公开发行的月刊杂志。创刊以来,办刊质量和水平不断提高,主要栏目设置有:计算机网络、神经网络、仿真技术研究、人工智能、研究与实现等。
  • 主管单位:国防科技大学
  • 主办单位:国防科技大学计算机学院
  • 国际刊号:1007-130X
  • 国内刊号:43-1258/TP
  • 出版地方:湖南
  • 邮发代号:42-153
  • 创刊时间:1973
  • 发行周期:月刊
  • 期刊开本:A4
  • 复合影响因子:0.79
  • 综合影响因子:0.292
相关期刊
服务介绍

计算机工程与科学 2014年第05期杂志 文档列表

计算机工程与科学杂志高性能计算

基于占用率的体系结构脆弱因子在线计算方法

摘要:随着CMOS工艺的不断进步,单个芯片上集成的晶体管数目快速增长,使得由高能粒子和α粒子辐射产生的软错误逐渐成为影响微处理器可靠性的重要因素.通过计算体系结构脆弱因子,量化分析软错误对微处理器中不同结构的影响,已成为指导微处理器容错设计、提高系统可靠性的重要方法.体系结构脆弱因子在线计算方法,利用体系结构脆弱因子随应用程序运行而变化的特点,指导动态选择容错技术,实现可靠性与性能之间的平衡.在对已有方法分析的基础上,提出一种基于占用率的体系结构脆弱因子在线计算方法,并在Sim-Alpha模拟器上进行验证.通过运行SPEC CPU2000基准测试程序,计算发射队列、重命名缓冲和存取队列的体系结构脆弱因子.实验结果表明,该方法能有效计算微处理器中不同结构的体系结构脆弱因子,与离线计算方法相比,发射队列、重排序缓存和存取队列三个体系结构脆弱因子的平均绝对误差仅为0.10、0.01和0.039.
779-785

宽度和长度缩减对体硅和SOI nMOSFETs热载流子效应的影响

摘要:针对标准体硅在CMOS和PD SOI CMOS两种工艺下的nMOSFETs,研究了沟道长度和宽度缩减对热载流子效应的影响.实验结果表明,在两种工艺下,热载流子的退化均随着沟道长度的减小而增强;然而,宽度的减小对两种工艺热载流子退化的影响却截然不同:体硅工艺的热载流子退化随宽度的减小而增强,SOI工艺的热载流子退化随宽度的减小而减小.基于界面态对热载流子效应的影响深入分析了长度减小导致两种工艺下热载流子退化均加重的原因;同时基于边缘电场分布对热载流子效应的影响解释了宽度减小导致两种工艺下热载流子退化规律截然相反的现象.研究结果对于实际深亚微米工艺下,集成电路设计中器件工艺尺寸和版图结构的选择具有一定指导意义.
786-789

基于S3C6410的嵌入式系统休眠与唤醒策略设计与实现

摘要:系统休眠与唤醒作为电源管理的关键技术之一,实现了处理器功耗模式的切换和设备电源管理的间接管理.根据S3C6410处理器模块的特性和Windows Embedded CE 6.0电源管理驱动结构,研究并实现了嵌入式系统休眠与唤醒;同时,还对唤醒源设置这样的关键问题进行了研究,最后对该休眠与唤醒策略进行了功能验证和性能分析.实验结果表明,本设计具有良好的稳定性和有效性.通过对休眠唤醒在系统的电源管理过程中发挥的作用进行了定量分析,不失一般性,本设计可为其他嵌入式系统功耗控制提供参考解决方案.
790-796

一种基于SRT-8算法的SIMD浮点除法器的设计与实现

摘要:在科学计算、数字信号处理、通信和图像处理等应用中,除法运算是常用的基本操作之一.基于SRT 8除法算法,设计一个SIMD结构的IEEE-754标准浮点除法器,在同一硬件平台上能够实现双精度浮点除法和两个并行的单精度浮点除法.通过优化SRT-8迭代除法结构,提出商选择和余数加法的并行处理,并采用商数字存储技术降低迭代除法的计算延时,提高频率.同时,采用复用策略减少硬件资源开销,节省面积.实验表明,在40 nm工艺下,本设计综合cell面积为18 601.968 1μm2,运行频率可达2.5 GHz,相对传统的SRT-8实现关键延迟减少了23.81%.
797-803

一种适用于智能设备的多重操作系统架构

摘要:针对智能设备,为避免引入虚拟化技术而产生的性能开销,提出并实现了MuxOS.MuxOS是一种多重操作系统架构,使得智能设备可以在非虚拟化条件下运行多个操作系统.介绍了MuxOS工作原理,描述了MuxOS运行流程.测试结果表明,MuxOS性能优于Xen等虚拟化产品,可以实现操作系统间亚秒级的快速切换.
804-808

基于Intel Xeon Phi的激光等离子体粒子模拟研究

摘要:激光等离子体粒子模拟广泛用于探索极端物质状态下的科学问题.将一种基于粒子云网格方法的三维等离子体粒子模拟程序LARED-P移植到Intel Xeon Phi协处理器上.在移植的过程中,综合运用了Native和Of load两种编程模式:首先运用Native模式对LARED-P程序中热点计算任务进行优化研究,通过采用SIMD扩展指令使该计算任务获得了4.61倍的加速;然后运用Offload模式将程序移植到CPU-Intel Xeon Phi异构系统上,并通过使用异步数据传输和双缓冲技术分别提升了程序性能9.8%和21.8%.
809-813

基于多配置LFSR的测试生成结构设计

摘要:针对内建自测试技术中传统的测试生成故障覆盖率过低、硬件开销过大等缺点,提出了一种多配置LFSR的混合测试矢量生成结构,结构利用矩阵理论先后对随机性矢量和确定性矢量进行反馈网络的配置;针对确定性矢量的生成,提出了一种反馈配置解的寻优算法,在一定程度上减少了硬件开销,因结构生成的混合测试矢量可以同时检测出被测电路中的随机矢量可测性故障和抗随机性故障,进而保证了测试故障覆盖率.最后,通过实例和对几种综合基准电路的测试,验证了该方案的可行性.
814-820

多核处理器事务级模型多视图协同验证环境

摘要:随着集成电路工艺持续高速发展,片上处理器核数目呈现指数增长规律,设计复杂程度不断增长,对处理器验证提出了严峻的挑战,至今仍缺乏有效的工具手段.提出了一种多核处理器事务级模型的多视图协同验证方法,将模拟验证、形式验证、应用验证三种不同验证视图,采用统一平台集成为一体化验证环境.从而可在一体化验证环境中,充分发挥多种验证方法综合应用的优势,协同高效完成多核处理器事务级模型验证任务.基于SoCLib事务级建模仿真平台实现了一个具有良好可扩展性的多视图协同验证环境MVIE.初步应用实验结果表明,多视图协同验证和传统单一视图验证方法相比,在模型验证的方便性、完备性、高效性以及模型数据一致性维护等方面,具有明显的优势.
821-827

三维芯片中TSV链式冗余修复电路的设计与实现

摘要:由于具有高集成度、高性能及低功耗等优点,三维芯片结构逐渐成为超大规模集成电路技术中的热门研究方向之一.TSV是三维芯片进行垂直互连的关键技术,然而在TSV的制作或晶圆的减薄和绑定过程中都可能产生TSV故障,这将导致与TSV互联的模块失效,甚至整个三维芯片失效.提出了一种基于TSV链式结构的单冗余/双冗余修复电路,利用芯片测试后产生的信号来控制该修复电路,将通过故障TSV的信号转移到相邻无故障的TSV中进行传输,以达到修复失效TSV的目的.实验结果表明,该电路结构功能正确,在面积开销较低的情况下,三维芯片的整体修复率可达91.97%以上.
828-835
计算机工程与科学杂志计算机网络与信息安全

一种灵活的强制完整性访问控制策略

摘要:完整性保护是计算机安全的一项重要内容,虽然绝大多数安全操作系统都设计实现了完整性保护机制,但仍存在着系统的完整性被破坏以及完整性策略不够灵活的不足.在实施完整性保护的基本原则下,提出了一种灵活的完整性访问控制策略FIC,并给出了在LSM框架下的实现过程.FIC定义了主完整级和辅助完整级,通过访问控制规则、进程再标记规则和新建客体标记规则,实现了系统的完整性保护以及进程执行的灵活完整性保护控制.最后分析了实现效果,并指出了进一步可扩展性研究需求.
836-841

优化目标可变的容错三维拓扑控制算法

摘要:为了使无线网络满足连通性、节能、干扰较小和容错等要求,采用对节点发送功率进行适当调整的拓扑控制技术是一种十分有效的方法.现实中的Ad Hoc网络应用场景的通信情况复杂多变,网络的优化目标也可能随之发生改变.提出一种更加贴近真实环境的容错三维拓扑控制算法 — OVFSS,在拓扑容错的前提下,该算法在干扰对网络影响较大时能将干扰优化到最小,在干扰影响较小时能较好地考虑节能.
842-848

使用Android系统机制的应用程序恶意行为检测

摘要:Android中存在很多系统机制供应用程序使用,然而这些机制在不当使用时会对用户安全和利益造成很大的破坏性.提出一种基于程序分析的方法,检测应用程序使用这些机制时可能存在的恶意行为.针对函数本身的特征,构建与之相对应的函数摘要.在构建摘要时使用指令级的模拟执行,在检测恶意行为时使用函数级的模拟执行,通过这两种不同级别的模拟执行分析出应用程序中潜在的恶意行为.基于上述方法,设计和实现了一个原型系统.通过对公开的恶意应用样本进行检测,验证了本方法是有效的.
849-855

两个自认证签密方案的攻击及改进

摘要:自认证公钥密码体制与签密思想相结合,使得消息在一个合理逻辑步骤内既签名又加密,同时无需公钥证书和密钥托管,为系统节约开销和存储空间,设计安全、高效的自认证签密方案尤为重要.通过对两个自认证签密方案的分析研究,发现这两个签密方案都是不安全的.存在已知明文与密文对的伪造攻击,任何第三方均可借助窃取到的明文与密文对假冒发送方伪造任意消息的签名.进而对第一个方案提出改进,通过添加随机数的方法,克服了原方案的安全隐患,提高了原方案的安全性能.
856-859

基于OpenFlow的报文分类算法研究与实现

摘要:随着软件定义网络、OpenFlow等技术的兴起,传统的基于5元组的报文分类技术已不能满足OpenFlow基于多元组的细粒度流量控制需求.因此,以分析已有的报文分类算法为基础,采用分而治之的思想,针对OpenFlow报文分类的精确匹配需求,设计实现了一种基于Hash的计数型链表BloomFilter算法——OF_CBF算法.针对OpenFlow报文分类的通配匹配需求,借鉴正则表达式匹配算法思想,设计实现了基于有限自动机的报文匹配算法——OF_FSMP算法.对两种算法进行分析验证,并初步对两种算法进行了性能分析.
860-865

基于图论生成树的低压电力线通信路由方法

摘要:电力线信道的阻抗特性、信号衰减特性及噪声特性导致电力线通信的可靠性成为制约其广泛应用的重要因素.分析了低压配电网的物理拓扑结构和逻辑拓扑结构,结合我国低压集中抄表系统应用的工程特点,提出了一种基于图论生成树的电力线通信自动路由方法.该方法能够有效地建立起电力线通信网络路由,并根据信道变化动态地维护路由,保证了电力线网络的可靠性.实验测试表明了该路由方法的有效性、可靠性和实用性.
866-873

基于RBAC的RFID安全认证协议

摘要:对于低成本RFID系统,其安全隐私问题一直是研究的热点.为了保护用户的隐私安全,现有的RFID安全认证协议主要采用Hash函数、传统加密算法等来保证标签信息的安全,虽然在一定程度上保证了信息的安全,然而这些协议却忽略了对非授权标签信息的保护.为了弥补以上缺陷和不足,提出了一种基于角色访问控制RBAC的RFID安全认证协议.通过引入RBAC机制,能够有效地确保非授权标签信息的安全性,并且可以抵抗重传攻击、内部阅读器攻击等攻击.同时,利用部分ID、位运算等方法降低系统对标签的硬件要求,更适合低成本RFID系统.
874-878

基于AHP的分布式星群接入策略研究

摘要:分布式星群网络是打赢未来信息化战争的有利武器,星群的接入技术对于提升我国空天信息获取能力具有重要意义.针对分布式星群网络高动态拓扑导致资源利用不充分的问题,提出了一种基于层次分析法的分布式星群网络接入策略.该接入策略首先是利用层次分析法动态计算资源利用率各指标权重;其次是根据各卫星节点的实时资源情况,动态调整资源利用率的权重.仿真表明,该接入策略降低了分布式星群网络的新呼叫阻塞率和强制中断率,有效地解决了星群网络资源利用率问题,提高了星群网络群内业务分配的合理性.
879-883
计算机工程与科学杂志软件工程

CNONIX标准符合性测试研究

摘要:CNONIX标准规范了图书出版行业在信息交互过程中的数据项及其格式,提高了数据质量和使用效率.为了保证第三方软件能够正确地生成符合CNONIX标准的XML文件以及检测一个XML文件是否符合CNONIX标准,提出了相关的标准符合性测试方法;对CNONIX标准中规定的元素属性进行了研究并且分析了其对测试用例的影响;设计了XML文件对于CNONIX标准符合性的检测规则;最后提供了一个应用实例对检测规则予以说明.
884-890